交通灯控制电路设计.ppt

上传人:小飞机 文档编号:5685614 上传时间:2023-08-10 格式:PPT 页数:10 大小:329.97KB
返回 下载 相关 举报
交通灯控制电路设计.ppt_第1页
第1页 / 共10页
交通灯控制电路设计.ppt_第2页
第2页 / 共10页
交通灯控制电路设计.ppt_第3页
第3页 / 共10页
交通灯控制电路设计.ppt_第4页
第4页 / 共10页
交通灯控制电路设计.ppt_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《交通灯控制电路设计.ppt》由会员分享,可在线阅读,更多相关《交通灯控制电路设计.ppt(10页珍藏版)》请在三一办公上搜索。

1、实验六 交通灯控制电路设计,1、目的要求 学习小型数字系统的设计方法;掌握VHDL语言的层次化设计方法。2、方法原理 能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态;用两组红、黄、绿三色灯作为两个方向的红、黄、绿灯。能实现正常的倒计时功能以及用两组数码管作为东西和南北方向的倒计时显示。,3、主要实验仪器及材料 实验箱(配备Altera公司的EPM240T100C5下载板)。4、掌握要点 用VHDL语言设计符合上述功能要求的交通灯控制器,熟练掌握层次化设计方法。,5、实验内容(1)画出顶层原理图;(2)写出各功能模块的VHDL语言源程序;(3)仿真设计内容,对照各个灯的时序分析电路工作

2、原理;(4)下载到实验箱,验证设计结果;完成设计报告。,LIBRARY ieee;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;-*实体定义*ENTITY jtd ISPORT(clk:inSTD_LOGIC;-时钟输入 rst:inSTD_LOGIC;-复位键 row:outSTD_LOGIC_VECTOR(3 downto 0);-输出组控制 r,y,g,bell:out STD_LOGIC);END jtd;,ARCHITECTURE led OF jtd

3、IS-纵向路口控制灯为row1和row3;横向路口控制灯为row2和row4constant yellow_time:integer:=2;-路口的黄灯维持秒数constant green_time:integer:=10;-路口的绿灯和红灯维持秒数signal p:integer range 0 to 3;-扫描计数器signal f:integer range 0 to 3;-状态控制寄存器signal clk_500:std_logic;-扫描时钟signal clk_1h:std_logic;-1s时钟signal r0,y0,g0:std_logic;-纵向路口控制信号signal

4、r1,y1,g1:std_logic;-横向路口控制信号BEGINbell=0;-*500Hz分频程序*process(clk)variable cnt1:integer range 0 to 200;variable cnt2:integer range 0 to 250;beginif clkevent and clk=1 thenif cnt1=200 thencnt1:=0;if cnt2=250 thencnt2:=0;clk_500=not clk_500;elsecnt2:=cnt2+1;end if;elsecnt1:=cnt1+1;end if;end if;end proc

5、ess;-*1Hz分频程序和扫描信号产生*process(clk_500)variable cnt1:integer range 0 to 250;beginif clk_500event and clk_500=1 thenif(p=3)thenp=0;elsep=p+1;end if;if cnt1=250 thencnt1:=0;clk_1h=not clk_1h;elsecnt1:=cnt1+1;end if;end if;end process;,引脚分配,Assignments菜单下的pins在下方会列出本项目所以的输入输出引脚名。,分配完引脚必须再次编译才能存储这些引脚锁定信息。,双击LOCATION,配置下载电缆1.TOOLS-PROGRAMMER2.,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号