常用半导体中英文对照表.docx

上传人:李司机 文档编号:5900331 上传时间:2023-09-01 格式:DOCX 页数:61 大小:50.94KB
返回 下载 相关 举报
常用半导体中英文对照表.docx_第1页
第1页 / 共61页
常用半导体中英文对照表.docx_第2页
第2页 / 共61页
常用半导体中英文对照表.docx_第3页
第3页 / 共61页
常用半导体中英文对照表.docx_第4页
第4页 / 共61页
常用半导体中英文对照表.docx_第5页
第5页 / 共61页
点击查看更多>>
资源描述

《常用半导体中英文对照表.docx》由会员分享,可在线阅读,更多相关《常用半导体中英文对照表.docx(61页珍藏版)》请在三一办公上搜索。

1、常用半导体中英文对照表离子注入机ionimplanter1.SS理论LindhandScharffandSchiotttheory,又称林汉德-斯卡夫-斯高特理论。沟道效应channelingeffect射程分布rangedistribution深度分布depthdistribution投影射程projectedrange阻止距离stoppingdistance阻止本领stoppingpower标准阻止截面standardstoppingcrosssection退火annealing激活能activationenergy等温退火isothermalannealing激光退火laserannea

2、ling应力感生缺陷stress-induceddefect择优取向preferredorientation制版工艺mask-makingtechnology图形畸变patterndistortion初缩firstminification精缩finalminification母版mastermask铭版chromiumplate干版dryplate乳胶版emulsionplate透明版see-throughplate高分辨率版highresolutionplate,HRP超微粒干版plateforuItra-microminiaturization掩模mask掩模对准maskalignment

3、对准精度alignmentprecision光刻胶PhotOreSiSt,又称光致抗蚀剂负性光刻胶negativephotoresist正性光刻胶positivephotoresist无机光刻胶inorganicresist多层光刻胶multilevelresist电子束光刻胶electronbeamresistX射线光刻胶X-rayresist刷洗scrubbing甩胶spinning涂胶photoresistcoating光亥IJphotolithographyX射线光刻X-raylithography电子束光刻electronbeamlithography离子束光刻ionbeamlith

4、ography深紫外光刻deep-UVlithography光刻机maskaligner投影光刻机projectionmaskaligner曝光exposure接触式曝光法contactexposuremethod接近式曝光法proximityexposuremethod光学投影曝光法opticalprojectionexposuremethod电子束曝光系统electronbeamexposuresystem分步重复系统step-and-repeatsystem显影development线宽linewidth去胶strippingofphotoresist氧化去胶removingofphot

5、oresistbyoxidation等离子体去胶removingofphotoresistbyplasma刻蚀etching干法刻蚀dryetching反应离子刻蚀reactiveionetching,RIE各向同性刻蚀isotropicetching各向异性刻蚀anisotropicetching反应溅射刻蚀reactivesputteretching离子铳ionbeammilling,又称离子磨削等离子体刻蚀plasmaetching剥离技术Iift-OffteChnOIOgy,又称浮脱工艺。终点监测endpointmonitoring金属化metallization互连interconn

6、ection多层金属化multilevelmetallization电迁徙electromigration回流reflow磷硅玻璃phosphorosilicateglass硼磷硅玻璃boron-phosphorosilicateglass钝化工艺passivationtechnology多层介质钝化multilayerdielectricpassivation划片scribing电子束切片electronbeamslicingE压indentation热压焊thermocompressionbonding热超声焊thermosonicbonding冷焊coldwelding点焊spotwel

7、ding球焊ballbonding楔焊wedgebonding内弓I线焊接innerleadbonding外引线焊接OUterleadbonding梁式引线beamlead装架工艺mountingtechnology附着adhesion封装packaging金属封装metallicpackaging陶瓷封装ceramicpackaging扁平封装flatpackaging塑封plasticpackage玻璃封装glasspackaging微封装micropackaging,又称微组装。管壳package管芯die引线键合leadbonding引线框式键合Ieadframebonding带式自动

8、键合tapeautomatedbonding,TAB激光键合IaSerbonding超声键合ultrasonicbonding红外键合infraredbondingEDA365电子论坛微电子辞典大集合(按首字母顺序排序)Abruptjunction突变结Acceleratedtesting加速实验Acceptor受主Acceptoratom受主原子Accumulation积累、堆积Accumulatingcontact积累接触Accumulationregion积累区Accumulationlayer积累层Activeregion有源区Activecomponent有源元Activedevic

9、e有源器件Activation激活Activationenergy激活能Activeregion有源(放大)区Admittance导纳Allowedband允带Alloy-junctiondevice合金结器件AIuminum(AIuminium)铝Aluminum-oxide铝氧化物Aluminumpassivation铝钝化Ambipolar双极的Ambienttemperature环境温度Amorphous无定形的,非晶体的Amplifier功放扩音器放大器AnaIogue(AnaIog)comparator模拟比较器Angstrom埃Anneal退火Anisotropic各向异性的An

10、ode阳极Arsenic(AS)碑Auger俄歇Augerprocess俄歇过程Avalanche雪崩Avalanchebreakdown雪崩击穿Avalancheexcitation雪崩激发BBackgroundcarrier本底载流子Backgrounddoping本底掺杂Backward反向Backwardbias反向偏置Ballastingresistor整流电阻Ballbond球形键合Band能带Bandgap能带间隙Barrier势垒Barrierlayer势垒层Barrierwidth势垒宽度Base基极Basecontact基区接触Basestretching基区扩展效应Bas

11、etransittime基区渡越时间Basetransportefficiency基区输运系数Base-widthmodulation基区宽度调制Basisvector基矢Bias偏置Bilateralswitch双向开关Binarycode二进制代码Binarycompoundsemiconductor二元化合物半导体Bipolar双极性的BipolarJunctionTranSiStOr(BJT)双极晶体管Bloch布洛赫Blockingband阻挡能带Blockingcontact阻挡接触Body-centered体心立方Body-centredcubicstructure体立心结构Bo

12、ltzmann波尔兹曼Bond键、键合Bondingelectron价电子Bondingpad键合点Bootstrapcircuit自举电路Bootstrappedemitterfollower自举射极跟随器Boron硼Borosilicateglass硼硅玻璃Boundarycondition边界条件Boundelectron束缚电子Breadboard模拟板、实验板Breakdown击穿Breakover转折Brillouin布里渊Brillouinzone布里渊区Built-in内建的Build-inelectricfield内建电场Bulk体/体内Bulkabsorption体吸收Bu

13、lkgeneration体产生Bulkrecombination体复合Burn-in老化Burnout烧毁Buriedchannel埋沟Burieddiffusionregion隐埋扩散区CCan外壳Capacitance电容Capturecrosssection俘获截面Capturecarrier俘获载流子Carrier载流子、载波Carrybit进位位Carry-inbit进位输入Carry-outbit进位输出Cascade级联Case管壳Cathode阴极Center中心Ceramic陶瓷(的)Channel沟道Channelbreakdown沟道击穿Channelcurrent沟道电

14、流Channeldoping沟道掺杂Channelshortening沟道缩短Channelwidth沟道宽度Characteristicimpedance特征阻抗Charge电荷、充电Charge-compensationeffects电荷补偿效应Chargeconservation电荷守恒Chargeneutralitycondition电中性条件Chargedrive/exchange/sharing/transfer/storage电荷驱动/交换/共享/转移/存储Chemmicaletching化学腐蚀法Chemically-Polish化学抛光Chemmically-Mechanic

15、allyPolish(CMP)化学机械抛光Chip芯片Chipyield芯片成品率Clamped箝位Clampingdiode箝位二极管Cleavageplane解理面Clockrate时钟频率Clockgenerator时钟发生器Clockflip-flop时钟触发器Close-packedstructure密堆积结构Close-loopgain闭环增益Collector集电极Collision碰撞CompensatedOP-AMP补偿运放Common-base/collector/emitterconnection共基极/集电极/发射极连接Common-gate/drain/sourcec

16、onnection共栅/漏/源连接Common-modegain共模增益Common-modeinput共模输入Common-moderejectionratio(CMRR)共模抑制比Compatibility兼容性Compensation补偿Compensatedimpurities补偿杂质Compensatedsemiconductor补偿半导体ComplementaryDarlingtoncircuit互补达林顿电路ComplementaryMetal-Oxicle-SemiconductorField-Effect-Transistor(CMOS)互补金属氧化物半导体场效应晶体管Com

17、plementaryerrorfunction余误差函数Computer-aideddesign(CAD)Ztest(CAT)Zmanufacture(CAM)计算机辅助设计/测试/制造CompoundSemiconductor化合物半导体Conductance电导Conductionband(edge)导带(底)Conductionlevel/state导带态Conductor导体Conductivity电导率Configuration组态Conlomb库仑ConpledConfigurationDevices结构组态Constants物理常数Constantenergysurface等能面

18、Constant-sourcediffusion恒定源扩散Contact接触Contamination治污Continuityequation连续性方程Contacthole接触孔Contactpotential接触电势Continuitycondition连续性条件Contradoping反掺杂Controlled受控的Converter转换器Conveyer传输器Copperinterconnectionsystem铜互连系统Couping耦合Covalent共阶的Crossover跨交Critical临界的Crossunder穿交Cruciblei小Crystaldefect/face/

19、orientation/lattice晶体缺陷/晶面/晶向/晶格Currentdensity电流密度Curvature曲率Cutoff截止Currentdrift/dirve/sharing电流漂移/驱动/共享CurrentSense电流取样Curvature弯曲Customintegratedcircuit定制集成电路Cylindrical柱面的Czochralshicrystal直立单晶Czochralskitechnique切克劳斯基技术(CZ法直拉晶体J)DDanglingbonds悬挂键Darkcurrent暗电流Deadtime空载时间Debyelength德拜长度De.brogl

20、ie德布洛意Decderate减速Decibel(dB)分贝Decode译码Deepacceptorlevel深受主能级Deepdonorlevel深施主能级Deepimpuritylevel深度杂质能级Deeptrap深陷阱Defeat缺陷Degeneratesemiconductor简并半导体Degeneracy简并度Degradation退化DegreeCeIsius(Centigrade)/Kelvin摄氏/开氏温度Delay延迟DenSity密度Densityofstates态密度Depletion耗尽Depletionapproximation耗尽近似Depletioncontac

21、t耗尽接触Depletiondepth耗尽深度Depletioneffect耗尽效应Depletionlayer耗尽层DepletionMOS耗尽MOSDepletionregion耗尽区Depositedfilm淀积薄膜Depositionprocess淀积工艺Designrules设计规则Die芯片(复数dice)Diode二极管Dielectric介电的Dielectricisolation介质隔离Difference-modeinput差模输入Differentialamplifier差分放大器Differentialcapacitance微分电容Diffusedjunction扩散结

22、Diffusion扩散Diffusioncoefficient扩散系数Diffusionconstant扩散常数Diffusivity扩散率Diffusioncapacitance/barrier/current/furnace扩散电容/势垒/电流/炉Digitalcircuit数字电路Dipoledomain偶极畴Dipolelayer偶极层Direct-coupling直接耦合DireCt-g叩semiconductor直接带隙半导体Directtransition直接跃迁Discharge放电Discretecomponent分立元件Dissipation耗散Distribution分布

23、Distributedcapacitance分布电容Distributedmodel分布模型Displacement位移Dislocation位错Domain畴DOnOr施主Donorexhaustion施主耗尽Dopant掺杂剂Dopedsemiconductor掺杂半导体Dopingconcentration掺杂浓度Double-diffusiveMOS(DMOS)双扩散MOS.Drift漂移Driftfield漂移电场Driftmobility迁移率Dryetching干法腐蚀Dry/wetoxidation干/湿法氧化Dose剂量Dutycycle工作周期Dual-in-linepac

24、kage(DIP)双列直插式封装Dynamics动态Dynamiccharacteristics动态属性Dynamicimpedance动态阻抗EEarlyeffect厄利效应Earlyfailure早期失效Effectivemass有效质量Einsteinrelation(ship)爱因斯坦关系ElectricEraseProgrammableReadOnlyMemory(E2PROM)一次性电可擦除只读存储器Electrode电极Electrominggratim电迁移Electronaffinity电子亲和势Electronic-grade电子能Electron-beamphoto-re

25、sistexposure光致抗蚀剂的电子束曝光Electrongas电子气Electron-gradewater电子级纯水Electrontrappingcenter电子俘获中心ElectronVolt(eV)电子伏Electrostatic静电的Element元素/元件/配件Elementalsemiconductor元素半导体Ellipse椭圆Ellipsoid椭球Emitter发射极Emitter-coupledlogic发射极耦合逻辑Emitter-coupledpair发射极耦合对Emitterfollower射随器Emptyband空带Emittercrowdingeffect发射

26、极集边(拥挤)效应Endurancetest=Iifetest寿命测试Energystate能态Energymomentumdiagram能量-动量(E-K)图Enhancementmode增强型模式EnhancementMOS增强性MOSEntefiC(低)共溶的Environmentaltest环境测试Epitaxial外延的Epitaxiallayer外延层Epitaxialslice夕卜延片Expitaxy外延Equivalentcurcuit等效电路Equilibriummajority/minoritycarriers平衡多数/少数载流子ErasablePrOgrammabIeRo

27、M(EPRoM)可搽取(编程)存储器Errorfunctioncomplement余误差函数Etch刻蚀Etchant刻蚀剂Etchingmask抗蚀剂掩模Excesscarrier过剩载流子Excitationenergy激发能Excitedstate激发态Exciton激子Extrapolation外推法Extrinsic非本征的Extrinsicsemiconductor杂质半导体FFace-centered面心立方Falltime下降时间Fan-in扇入Fan-out扇出Fastrecovery快恢复Fastsurfacestates快界面态Feedback反馈Fermilevel费米

28、能级Fermi-DiracDistribution费米-狄拉克分布Femipotential费米势Fickequation菲克方程(扩散)Fieldeffecttransistor场效应晶体管Fieldoxide场氧化层Filledband满带Film薄膜Flashmemory闪烁存储器Flatband平带Flatpack扁平封装Flickernoise闪烁(变)噪声Flip-floptoggle触发器翻转Floatinggate浮栅Fluorideetch氟化氢刻蚀Forbiddenband禁带Forwardbias正向偏置Forwardblocking/conducting正向阻断/导通F

29、requencydeviationnoise频率漂移噪声Frequencyresponse频率响应Function函数GGain增益GaIIium-Arsenide(GaAs)G化钾Gamyrayr射线Gate门、栅、控制极Gateoxide栅氧化层Gauss(ian)高斯Gaussiandistributionprofile高斯掺杂分布Generation-recombination产生-复合Geometries几何尺寸Germanium(Ge)褚Graded缓变的Graded(gradual)channel缓变沟道Gradedjunction缓变结Grain晶粒Gradient梯度Grow

30、njunction生长结Guardring保护环Gummel-Poommodel葛谋-潘模型Gunn-effect狄氏效应Hardeneddevice辐射加固器件Heatofformation形成热Heatsink散热器、热沉Heavy/lightholeband重/轻空穴带Heavysaturation重掺杂Hell-effect霍尔效应Heterojunction异质结Heterojunctionstructure异质结结构HeterojunctionBipoIarTransistor(HBT)异质结双极型晶体Highfieldproperty高场特性High-performanceM0S

31、.(H-MoS)高性能MOS.Normalized归一化Horizontalepitaxialreactor卧式外延反应器Hotcarrior热载流子Hybridintegration混合集成Image-force镜象力Impactionization碰撞电离Impedance阻抗Imperfectstructure不完整结构Implantationdose注入剂量Implantedion注入离子Impurity杂质Impurityscattering杂志散射Incrementalresistance电阻增量(微分电阻)In-contactmask接触式掩模Indiumtinoxide(ITO

32、)锢锡氧化物Inducedchannel感应沟道Infrared红外的Injection注入Inputoffsetvoltage输入失调电压Insulator绝缘体InsulatedGateFET(IGFET)绝缘栅FETIntegratedinjectionlogic集成注入逻辑Integration集成、积分Interconnection互连Interconnectiontimedelay互连延时Interdigitatedstructure交互式结构Interface界面Interference干涉Internationalsystemofunions国际单位制Internallysca

33、ttering谷间散射Interpolation内插法Intrinsic本征的Intrinsicsemiconductor本征半导体Inverseoperation反向工作Inversion反型Inverter倒相器Ion离子Ionbeam离子束Ionetching离子刻蚀Ionimplantation离子注入Ionization电离Ionizationenergy电离能Irradiation辐照Isolationland隔离岛Isotropic各向同性JJunctionFET(JFET)结型场效应管Junctionisolation结隔离Junctionspacing结间距Junctions

34、ide-wall结侧壁1.1.atchup闭锁1.ateral横向的1.attice晶格1.ayout版图1.atticebindingcellcostantdefectdistortion晶格结合力/晶胞/晶格/晶格常熟/晶格缺陷/晶格畸变1.eakagecurrent(泄)漏电流1.evelshifting电平移动1.ifetime寿命linearity线性度1.inkedbond共价键1.iquidNitrogen液氮1.iquidphaseepitaxialgrowthtechnique液相外延生长技术1.ithography光刻1.ightEmittingDiode(LED)发光二极

35、管1.oadlineorVariable负载线1.ocatingandWiring布局布线1.ongitudinal纵向的1.ogicswing逻辑摆幅1.orentz洛沦兹1.umpedmodel集总模型MMajoritycarrier多数载流子Mask掩膜板,光刻板Masklevel掩模序号Maskset掩模组Mass-actionlaw质量守恒定律Master-slaveDflip-flop主从D触发器Matching匹配Maxwell麦克斯韦Meanfreepath平均自由程Meanderedemitterjunction梳状发射极结Meantimebeforefailure(MTBF

36、)平均工作时间Megeto-resistance磁阻Mesa台面MESFET-MetaISemiconductor金属半导体FETMetallization金属化Microelectronictechnique微电子技术Microelectronics微电子学Millenindices密勒指数Minoritycarrier少数载流子Misfit失配Mismatching失配Mobileions可动离子Mobility迁移率Module模块Modulate调制Molecularcrystal分子晶体MonolithicIC单片ICMOSFET金属氧化物半导体场效应晶体管Mos.Transisto

37、r(MOST)MOS.晶体管Multiplication倍增Modulator调制Multi-chipIC多芯片ICMulti-chipmodule(MCM)多芯片模块Multiplicationcoefficient倍增因子Nakedchip未封装的芯片(裸片)Negativefeedback负反馈Negativeresistance负阻Nesting套刻Negative-temperature-coefficient负温度系数Noisemargin噪声容限Nonequilibrium非平衡Nonrolatile非挥发(易失)性Normallyoff/on常闭/开Numericalanaly

38、sis数值分析0Occupiedband满带Officienay功率Offset偏移、失调Onstandby待命状态Ohmiccontact欧姆接触Opencircuit开路Operatingpoint工作点Operatingbias工作偏置Operationalamplifier(OPAMP)运算放大器Opticalphoton=photon光子Opticalquenching光猝灭Opticaltransition光跃迁Optical-coupledisolator光耦合隔离器Organicsemiconductor有机半导体Orientation晶向、定向Outline外形Out-of-

39、contactmask非接触式掩模Outputcharacteristic输出特性Outputvoltageswing输出电压摆幅Overcompensation过补偿Over-currentprotection过流保护Overshoot过冲Over-voltageprotection过压保护Overlap交迭Overload过载Oscillator振荡器Oxide氧化物Oxidation氧化Oxidepassivation氧化层钝化PPackage封装Pad压焊点Parameter参数Parasiticeffect寄生效应Parasiticoscillation寄生振荡Passination

40、钝化Passivecomponent无源元件Passivedevice无源器件Passivesurface钝化界面Parasitictransistor寄生晶体管Peak-pointvoltage峰点电压Peakvoltage峰值电压Permanent-storagecircuit永久存储电路Period周期Periodictable周期表Permeable-base可渗透基区Phase-lockloop锁相环Phasedrift相移Phononspectra声子谱Photoconduction光电导Photodiode光电二极管Photoelectriccell光电池Photoelectri

41、ceffect光电效应Photoenicdevices光子器件Photolithographicprocess光亥IJ工艺(photo)resist(光敏)抗腐蚀剂Pin管脚Pinchoff夹断PinningofFermilevel费米能级的钉扎(效应)Planarprocess平面工艺Planartransistor平面晶体管Plasma等离子体Piezoelectriceffect压电效应Poissonequation泊松方程Pointcontact点接触Polarity极性Polycrystal多晶Polymersemiconductor聚合物半导体Poly-silicon多晶硅Pote

42、ntial(电)势Potentialbarrier势垒Potentialwell势阱Powerdissipation功耗Powertransistor功率晶体管PreamPlifier前置放大器Primaryflat主平面Principalaxes主轴Print-circuitboard(PCB)印制电路板Probability几率Probe探针Process工艺Propagationdelay传输延时Pseudopotentialmethod膺势发Punchthrough穿通Pulsetriggering/moduIating脉冲触发/调制PulseWidenModuIator(PWM)脉冲

43、宽度调制Punchthrough穿通Push-pullstage推挽级QQualityfactor品质因子Quantization量子化Quantum量子Quantumefficiency量子效应Quantummechanics量子力学Quasi-Fermi-Ievel准费米能级Quartz石英RRadiationconductivity辐射电导率Radiationdamage辐射损伤Radiationfluxdensity辐射通量密度Radiationhardening辐射加固Radiationprotection辐射保护Radiative-recombination辐照复合Radioact

44、ive放射性Reachthrough穿通Reactivesputteringsource反应溅射源Readdiode里德二极管Recombination复合Recoverydiode恢复二极管Reciprocallattice倒核子Recoverytime恢复时间Rectifier整流器(管)Rectifyingcontact整流接触Reference基准点基准参考点Refractiveindex折射率Register寄存器Registration对准Regulate控制调整Relaxationlifetime驰豫时间Reliability可靠性Resonance谐振Resistance电阻Resistor电阻器Resistivity电阻率Regulator稳压管(器)Relaxation驰豫Resonantfrequency共射频率Responsetime响应时间Reverse反向的Reversebias反向偏置SSamplingcircuit取样电路Sapphire蓝宝石(AI2O3)Satellitevalley卫星谷Saturatedcurrentrange电流饱和区Saturationregion饱和区Saturat

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号