初识EDA-2三八译码器.ppt

上传人:牧羊曲112 文档编号:5932723 上传时间:2023-09-05 格式:PPT 页数:40 大小:630.50KB
返回 下载 相关 举报
初识EDA-2三八译码器.ppt_第1页
第1页 / 共40页
初识EDA-2三八译码器.ppt_第2页
第2页 / 共40页
初识EDA-2三八译码器.ppt_第3页
第3页 / 共40页
初识EDA-2三八译码器.ppt_第4页
第4页 / 共40页
初识EDA-2三八译码器.ppt_第5页
第5页 / 共40页
点击查看更多>>
资源描述

《初识EDA-2三八译码器.ppt》由会员分享,可在线阅读,更多相关《初识EDA-2三八译码器.ppt(40页珍藏版)》请在三一办公上搜索。

1、一、实验目的1、通过一个简单的38译码器的设计,掌握组合逻辑电路的设计方法。2、初步了解QUARTUSII原理图输入设计的全过程。3、掌握组合逻辑电路的静态测试方法。,二、实验内容 在本实验中,用三个拨动开关来表示三八译码器的三个输入用八个LED来表示三八译码器的八个输出。通过输入不同的值来观察输入的结果与三八译码器的真值表是否一致。当开关闭合时其输出为低电平,反之输出高电平。,实验步骤,下面将通过这个实验,向读者介绍QUARTUSII的项目文件的生成、编译、管脚分配以及时序仿真等的操作过程。,1、建立工程文件,1)选择开始程序AlteraQuartusII5.1,运行QUARTUSII软件。

2、或者双击桌面上的QUARTUSII的图标运行QUARTUSII软件,出现如图5-1所示,如果是第一次打开QUARTUSII软件可能会有其它的提示信息,使用者可以根据自己的实际情况进行设定后进入图5-1所示界面。,5-1 QUARTUSII软件运行界面,2)选择软件中的菜单FileNew Project Wizard,新建一个工程。如图5-2所示。,5-2 新建工程对话框,3)点击图1-4中的NEXT进入工作目录,工程名的设定对话框如图5-3所示。第一个输入框为工程目录输入框,用户可以输入如f:/work等工作路径来设定工程的目录,设定好后,所有的生成文件将放入这个工作目录。第二个输入框为工程名

3、称输入框,第三个输入框为顶层实体名称输入框。用户可以设定如work,一般情况下工程名称与实体名称相同。使用者也可以根据自已的实际情况来设定。,5-3 指定工程名称及工作目录,4)点击NEXT,进入下一个设定对话框,按默认选项直接点击NEXT进行器件选择对话框。如图5-4所示。这里我们以选用Cyclone系列芯片EP1C6Q240C8为例进行介绍。用户可以根据使用的不同芯片来进行设定。,5-4 器件选择界面,首先在对话框的左上方的Family下拉菜单中选取Cyclone,在中间右边的Speed grade下拉菜单中选取8,在左下方的Available devices框中选取EP1C6Q240C8

4、,点击NEXT完成器件的选取,进入EDA TOOL设定界面如图1-7所示。,5-5 EDA TOOL对话框,5)按默认选项,点击NEXT出现新建工程以前所有的设定信息,如图5-6所示,点击FINISH完成新建工程的建立。,5-6 新建工程信息,2、建立图形设计文件,1)在创建好设计工程后,选择FileNEW菜单,出现图5-7所示的新建设计文件类型选择窗口。这里我们以建立图形设计文件为例进行说明,其它设计输入方法与之基本相同。,5-7 新建设计文件选择窗口,2)在New对话框(图5-7)中选择Device Design Files页下的Block Diagram/Schematic File,点

5、击OK按钮,打开图形编辑器对话框,如图5-8所示。图中标明了常用的每个按钮的功能。,5-8 QUARTUS图形编辑器对话框,QUARTUSII图形编辑器也称块编辑器(Block Editor),用于以原理图(Schematics)和结构图(Block Diagrams)的形式输入和编辑图形设计信息。QUARTUSII图形编辑器可以读取并编译结构图设计文件(Block Design File)和MAXPLUSII图形设计文件(Graphic Design Files),可以在QUARTUSII软件中打开图形设计文件并将其另存为结构图设计文件。在QUARTUSII图形编辑器窗口(图5-8)中,根据

6、个人爱好,可以随时改变Block Editor的显示选项,如导向线和网格间距、橡皮筋功能、颜色以及基本单元和块的属性等。,3)在这里以用原理图输入设计一个三八译码器为例,介绍基本单元符号输入方法的步骤。在图5-8所示的图形编辑器窗口的工件区双击鼠标的左键,或点击图中的符号工具按钮,或选择菜单EditInsert Symbol,则弹出如图5-9所示的Symbol对话框。,5-9 Symbol对话框,4)用鼠标点击单元库前面的“+”号,展开单元库,用户可以选择所需要的图元或符号,该符号则显示在右边的显示符号窗口,用户也可以在符号名称里输入你所需要的符号名称,点击OK按钮,所选择的符号将显示在图形编

7、辑器的工件工域。,5)参考图5-10所示,将要选择的器件符号放置在图形编辑器的工件区域,用正交节点工具将原件边接起来,然后定义端口的名称。在这个例子里,定义三个输入为A、B、C,定义八个输出为D0、D1、D2、D3、D4、D5、D6、D7。用户也可以根据自己的习惯来定义这些端口名称。,5-10 设计文件的输入,6)完成图形编辑的输入之后,需要保存设计文件或重新命名设计文件。选择FileSave As项,出现如图5-11所示对话框,选择好文件保存目录,并在文件名栏输入设计文件名。如需要将设计文件添加到当前工程中,则选择对话框下面的Add file to current project复选框,单击

8、保存按钮即可保存文件。需要注意的是,在整个设计文件保存的过程当中,都需要遵循设计输入法的一般规则。,5-11 保存设计文件对话框,3、对设计文件进行编译,QUARTUSII编译器窗口包含了对设计文件处理的全过程。在QUARTUSII软件中选择ToolCompiler Tool菜单项,则出现QUARTUSII的编译器窗口,如图5-12所示,图中标明了全编译过程各个模块的功能。,5-12 QUARTUSII编译器窗口,需要说明的是在进行设计文件的综合和分析,也可以单独打开某个分析综合过程不必进行全编译界面。当完成上述窗口的设定后,点击START按钮进行设计文件的全编译。如果文件有错,在软件的下方则

9、会提示错误的原因和位置,以便于使用者进行修改直到设计文件无错。整个编译完成,软件会提示编译成功,如图5-13所示。,5-13 全编译成功界面,4、管脚分配,在前面选择好一个合适的目标器件(在这个实验中选择为EP1C6Q240C8),完成设计的分析综合过程,得到工程的数据文件以后,需要对设计中的输入、输出引脚指定到具体的器件管脚号码,指定管脚号码称为管脚分配或管脚锁定。,1)点击Assignments菜单下面的Assignment Editor,进入到引脚分配窗口。如图5-14所示。,5-14 进入引脚分配界面,首先将要分配管脚的信号放置在To下方。双击To下方的New,如图5-14所示则会出现

10、如图5-15所示界面。,5-15 信号选择对话框,选择Node Finder进入如图5-16所示的Node Finder对话框界面。按图5-16中样例设置参数。在Filter窗口选择Pins:all,在Named窗口中输入“*”,点击List在Nodes Found窗口出现所有信号的名称,点击中间的 按钮则Selected Nodes窗口下方出现被选择的端口名称。,5-16 Node Finder对话框,双击OK按钮,完成设置。进入管脚分配窗口,如图5-17所示。,5-17 管脚分配,在图5-17中以锁定端口A的管脚为例,其它端口的管脚锁定与其基本一致。选择端口A的对应Assignment N

11、ame 待其变为蓝色,双击之,出现下拉菜单选取如图5-17所示的Location(Accepts wildcards/groups)选项。选择端口A的对应Value栏,待其变为蓝色,输入对应的管脚名121,按回车键,软件将自动将其改为PIN_121,同时蓝色选择条会自动跳转到Value栏的下一行,这表明软件已经将输入端口A分配到FPGA的121引脚上,如图5-18所示。,5-18 给A端口进行管脚分配,步骤一:在Assignment Name栏内双击后,在下拉菜单中选中Location(Accepts wildcards/groups)选项,步骤二:在Value栏下填入实验箱管脚121,注意各

12、实验箱对应的管脚也不同,也可选择该实验箱上其他任意不同的管脚,步骤三:管脚填写完毕后,字体颜色自动变黑,则填写正确,用同样的方法,依照表1-2和表1-3所示的硬件与FPGA的管脚连接表(或附录),对其它端口进行管脚分配,如图5-19所示。,5-19 所有引脚全部分配结束后的软件窗口,管脚分配好之后,按照表5-19 所示的编号,把实验箱上D0接线端子与EP1C6核心板I/O引脚 区的101端子。用导线连接起来。按照同样的办法,把其他的几个接线端子用导线连接起来。,下表是拨动开关的输入信号与FPGA的I/O的关系,5-20 拨动开关的输入信号与FPGA的I/O的关系,6、对设计文件进行仿真,1)创

13、建一个仿真波形文件,选择QUARTUSII软件FileNew,进行新建文件对话框。如图5-23所示。选取对话框的Other File标签页,从中选取Vector Waveform File,点击OK按钮,则打开了一个空的波形编辑器窗口,如图5-24所示。,5-24 波形编辑器,5-23 新建文件对话框,2)设置仿真结束时间,波形编辑器默认的仿真结束时间为1S,根据仿真需要,可以自由设置仿真的结束时间。选择QUARTUSII软件的EditEnd Time命令,弹出线路束时间对话框,在Time框办输入仿真结束时间,点击OK按钮完成设置。,3)加入输入、输出端口,在波形编辑器窗口左边的端口名列表区点

14、击鼠标右键,在弹出的右键菜单中选择Insert Node or Bus命令,在弹出的Insert Node or Bus对话框如图5-25所示界面中点击Node Finder按钮。,5-25 Insert Node or Bus对话框,在出现的Node Finder界面中,如图5-26所示,在Filter列表中选择Pins:all,在Named窗口中输入“*”,点击List在Nodes Found窗口出现所有信号的名称,点击中间的 按钮则Selected Nodes窗口下方出现被选择的端口名称。,5-26 Node Finder对话框,双击OK按钮,完成设置,回到图5-25所示的Insert

15、Node or Bus对话框,双击OK按钮,所有的输入、输出端口将会在端口名列表区内显示出来,如图5-27所示。,5-27 在波形编辑器中加入端口,4)编辑输入端口波形,即指定输入端口的逻辑电平变化,在如图5-27所示的波形编辑窗口中,选择要输入波形的输入端口如A端口,在端口名显示区左边的波形编辑器工具栏中有要输入的各种波形,其按钮说明如图5-28所示。,5-28 波形编辑器工具栏,5)点击时钟键,出现时间对话框,可对A段的波形周期进行设置,根据仿真的需要输入A、B、C段的波形。完成后如图5-29所示。最后选择软件的FileSave进行保存。,5-29 编辑输入端口波形,5)指定仿真器设置,在

16、仿真过程中有时序仿真和功能仿真之分,在这里介绍功能仿真。在QUARTUSII软件中选择ToolSimulator Tool命令,打开仿真器工具窗口,如图5-30所示。,5-30 仿真器工具窗口,按图5-30上的提示,首先产生功能仿真网表文件,点击产生功能仿真网表的按钮Generate Functional Simulation Netlist,产生功能仿真网表,然后点击开始仿真的START按钮开始进行仿真,直到仿真进度条为100%完成仿真。点击仿真报告窗口按钮Report,观察仿真波形。如图5-31所示。,5-31 仿真波形,7、从设计文件到目标器件的加载 完成对器件的加载有两种形式,一种是对

17、目标器件进行加载文件,一种是对目标器件的配置芯片进行加载。这里我们介绍对目标器件EP1C6Q240C8进行加载的方法。,1)将实验箱插上电源插头。2)使用USB下载电缆插入USB-Blaster端口中,观察USB-Blaster 连接盒上中间的USB指示灯是否点亮显示,若指示灯亮则可进入到下一步设置。,3)选择QUARTUSII软件的ToolProgrammer命令,进行编程器窗口,如图5-32所示,如果没有设置编程硬件,则编程硬件类型为No Hardware,需要对编程硬件进行设置。,5-32 编程器窗口,4)点击Hardware Setup编程硬件设置按钮,进行如图5-33所示的编程硬件设

18、置对话框。,5-33 编程器硬件设置对话框,4)如果软件已运行一个工程,则在打开编程器的时候,编程器窗口会自动出现这个工程文件要加载到目标器件的文件,如果要加载其它文件可以从其它地方进行添加更改。选好加载文件后,再点选Progam/Configure,编程模式选取JTAG模式,如下图所示.点击STRAT进行文件加载,直到加载进度变为100%,文件成功加载完成。,5)如果软件已运行一个工程,则在打开编程器的时候,编程器窗口会自动出现这个工程文件要加载到目标器件的文件,如果要加载其它文件可以从其它地方进行添加更改。选好加载文件后,再点选Progam/Configure,编程模式选取JTAG模式,点击STRAT进行文件加载,直到加载进度变为100%,文件成功加载完成。,6)手动控制实验箱上的K1、K2、K3即可观察到实验结果,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号