并行和串行接口电路.ppt

上传人:小飞机 文档编号:6117073 上传时间:2023-09-26 格式:PPT 页数:168 大小:1.67MB
返回 下载 相关 举报
并行和串行接口电路.ppt_第1页
第1页 / 共168页
并行和串行接口电路.ppt_第2页
第2页 / 共168页
并行和串行接口电路.ppt_第3页
第3页 / 共168页
并行和串行接口电路.ppt_第4页
第4页 / 共168页
并行和串行接口电路.ppt_第5页
第5页 / 共168页
点击查看更多>>
资源描述

《并行和串行接口电路.ppt》由会员分享,可在线阅读,更多相关《并行和串行接口电路.ppt(168页珍藏版)》请在三一办公上搜索。

1、第10章 并行和串行接口电路,10.1 概述 10.2 可编程并行接口电路Intel 8255A 10.3 可编程串行接口电路Intel 8251A 习题10,10.1 概述,10.1.1 并行通信 1.并行接口 并行通信由并行接口来完成的,在并行数据传输中并行接口连接CPU与并行外设的通道,并行接口中各位数据都是并行传输的,它以字节(或字)为单位与I/O设备或被控对象进行数据交换。并行通信以同步方式传输,其特点是:传输速度快;硬件开销大;只适合近距离传输。一个并行接口中包括状态信息、控制信息和数据信息。,状态信息 状态信息表示外设当前所处的工作状态。例如,准备好信号表示输入设备已经准备好信息

2、,可以和CPU交换数据;忙信号(BUSY)表示输出设备正在输出信息,即在“忙”着,同时也等于指示CPU要处于等待状态。控制信息 控制信息是由CPU发出的,用于控制外设接口的工作方式以及外设的启动和停机信息等。,数据信息 CPU与并行外设数据交换的内容。状态信息、控制信息和数据信息,通常都是通过数据总线传送,这些信息在外设接口中分别存取在不同的口中。所谓口是指可以由CPU读、写的寄存器,这些口分别是状态口、控制口和数据口,它们分别用来存放状态信息、控制信息和数据信息。对于一个外设接口,常常需要几个口才能满足和协调外部设备的工作与要求,图10.1是一个典型的并行接口与CPU、外设的连接图。,图10

3、.1 并行接口与CPU、外设的连接,2.并行接口的组成 状态寄存器 状态寄存器用来存放外设的信息,CPU通过访问这个寄存器来了解某个外设的状态,进而控制外设的工作,以便与外设进行数据交换。控制寄存器 并行接口中有一个控制寄存器,CPU对外设的操作命令都寄存在控制寄存器中。,数据缓冲寄存器 在并行接口中还设置了输入缓冲寄存器和输出缓冲寄存器,缓冲器是用来暂存数据。因为外设与CPU交换数据,CPU的速度远远高于外设的速度。例如,打印机的打印速度与CPU的速度相差的远不止是一个数量级,在并行接口中设置缓冲器,把要传送的数据先放入缓冲器中,打印机按照安排好的打印队列进行打印,这样可以保证输入,输出数据

4、的可靠性。,3.数据输入过程 数据输入过程,指的是外设向CPU输入数据。当外设将数据通过数据输入线送给接口时,先使状态线“输入数据准备好”为高电平。然后通过接口把数据接收到输入缓冲寄存器中,同时把“输入回答”信号置成高电平“1”,并发给外设。外设接到回答信号后,将撤消“输入数据准备好”的信号。当接口收到数据后,会在状态寄存器中设置“准备好输入”状态位,以便CPU对其进行查询。,接口向CPU发出一个中断请求信号,这样CPU可以用软件查询方式,也可以用中断的方式将接口中的数据输入到CPU中。CPU在接收到数据后,将“准备好输入”的状态位自动清除,并使数据总线处于高阻状态。准备外设向CPU输入下一个

5、数据。,4.数据输出过程 数据输出过程,指的是CPU向外设输出数据。当外设从接口接收到一个数据后,接口的输出缓冲寄存器“空”,使状态寄存的“输出数据准备好”状态位置成高电平“1”,这表示CPU可以向外设接口输出数据,这个状态位可供CPU查询。此时接口也可向CPU发出一个中断请求信号,同上面的输入过程相同,CPU可以用软件查询方式,也可以用中断的方式将CPU中的数据通过接口输出到外设中。当输出数据送到接口的输出缓冲寄存器后,再输出到外设。,与此同时,接口向外设发送一个启动信号,启动外设接收数据。外设接收到数据后,向接口回送一个“输出回答”信号。接口电路收到该信号后,自动将接口状态寄存器中的“准备

6、好输出”状态位重新置为高电平“1”,通知CPU可以向外设输出下一个数据。,10.1.2 串行通信 串行通信是微机和外部设备交换信息的方式之一。所谓串行通信是通过一位一位地进行数据传输来实现通信。与并行通信相比,串行通信具有传输线少,成本低等优点,适合远距离传送。缺点是速度慢,若并行传送n位数据需时间T,则串行传送的时间最少为nT。在实际传输中,是通过一对导线传送信息。在传输中每一位数据都占据一个固定的时间长度。1.串行接口的组成 串行接口是通过系统总线和CPU相连,串行接口部件的典型结构如图10.2所示。主要由控制寄存器、状态寄存器、数据输入寄存器和数据输出寄存器4部分组成。,图10.2 串行

7、接口与CPU、外设的连接,控制寄存器 控制寄存器用来保存决定接口工作方式的控制信息。状态寄存器 状态寄存器中的每一个状态位都可以用来标识传输过程中某一种错误或当前传输状态。,数据寄存器 数据输入寄存器:在输入过程中,串行数据一位一位地从传输线进入串行接口的移位寄存器,经过串入并出(串行输入并行输出)电路的转换,当接收完一个字符之后,数据就从移位寄存器传送到数据输入寄存器,等待CPU读取。,数据输出寄存器:在输出过程中,当CPU输出一个数据时,先送到数据输出缓冲寄存器,然后,数据由输出寄存器传到移位寄存器,经过并入串出(并行输入串行输出)电路的转换一位一位地通过输出传输线送到对方。串行接口中的数

8、据输入移位寄存器和数据输出移位寄存器是为了和数据输入缓冲寄存器和数据输出缓冲寄存器配对使用的。在学习串行通信方式时,很有必要了解一下有关串行通信中的一些基本概念,这里仅做简单介绍。,2.串行通信中使用的术语 发送时钟和接收时钟 把二进制数据序列称为比特组,由发送器发送到传输线上,再由接收器从传输线上接收。二进制数据序列在传输线上是以数字信号形式出现,即用高电平表示二进制数1,低电平表示二进制数0。而且每一位持续的时间是固定的,在发送时是以发送时钟作为数据位的划分界限,在接收时是以接收时钟作为数据位的检测。,发送时钟:串行数据的发送由发送时钟控制,数据发送过程是:把并行的数据序列送入移位寄存器,

9、然后通过移位寄存器由发送时钟触发进行移位输出,数据位的时间间隔可由发送时钟周期来划分。接收时钟:串行数据的接收是由接收时钟来检测,数据接收过程是:传输线上送来的串行数据序列由接收时钟作为移位寄存器的触发脉冲,逐位打入移位寄存器。,DTE和DCE 数据终端设备(data terminal equipment,DTE):是对属于用户所有联网设备和工作站的统称,它们是数据的源或目的或者即是源又是目的。例如:数据输入/输出设备,通信处理机或各种大、中、小型计算机等。DTE可以根据协议来控制通信的功能。,数据电路终端设备或数据通信设备(data circuit-terminating equipment

10、或data communication equipment,DCE):前者为CCITT标准所用,后者为EIA标准所用。DCE是对网络设备的统称,该设备为用户设备提供入网的连接点。自动呼叫/应答设备、调制解调器Modem和其他一些中间设备均属DCE。信道 信道是传输信息所经过的通道,是连接2个DTE的线路,它包括传输介质和有关的中间设备。,3.串行通信中的工作方式 串行通信中的工作方式分为:单工通信方式、半双工通信方式和全双工通信方式 单工工作方式 在这种方式下,传输的线路用一根线连接,通信的一端连接发送器,另一端连接接收器,即形成单向连接,只允许数据按照一个固定的方向传送,如图10.3(a)所

11、示。即数据只能从A站点传送到B站点,而不能由B站点传送到A站点。单工通信类似无线电广播,电台发送信号,收音机接收信号。收音机永远不能发送信号。,半双工工作方式 如果在传输的过程中依然用一根线连接,这样在某一个时刻,只能进行发送,或只能进行接收。由于是一根线连接,发送和接收不可能同时进行,这种传输方式称为半双工工作方式,如图10.3(b)所示。半双工通信工方式类似对讲机,某时刻A方发送B方接收,另一时刻B方发送A方接收,双方不能同时进行发送和接收。,图10.3 串行通信工作方式,全双工工作方式 对于相互通信的双方,都可以是接收器也都可以是发送器。分别用2根独立的传输线(一般是双绞线,或同轴电缆)

12、来连接发送信号和接收信号,这样发送方和接收方可同时进行工作,称为全双工的工作方式,如图10.3(c)所示。全双工通信工方式类似电话机,双方可以同时进行发送和接收。,4.同步通信和异步通信方式 串行通信分为2种类型:一种是同步通信方式,另一种是异步通信方式。同步通信方式 同步通信方式的特点是:由一个统一的时钟控制发送方和接收方,若干字符组成一个信息组,字符要一个接着一个传送;没有字符时,也要发送专用的“空闲”字符或者是同步字符,因为同步传输时,要求必须连续传送字符,每个字符的位数要相同,中间不允许有间隔。同步传输的特征是:在每组信息的开始(常称为帧头)要加上l一2个同步字符,后面跟着8位的字符数

13、据。同步通信的数据格式如图10.4所示。,图10.4 同步通信字符格式,传送时每个字符的后面是否要奇、偶校验,由初始化时设同步方式字决定。,异步通信方式 异步通信的特点是:字符是一帧一帧的传送,每一帧字符的传送靠起始位来同步。在数据传输过程中,传输线上允许有空字符。所谓异步通信,是指通信中两个字符的时间间隔是不固定的,而在同一字符中的两个相邻代码间的时间间隔是固定的通信。异步通信中发送方和接收方的时钟频率也不要求完全一样,但不能超过一定的允许范围,异步传输时的数据格式如图10.5所示。,图10.5 异步通信字符格式,字符的前面是一位起始位(低电平),之后跟着58位的数据位,低位在前、高位在后。

14、数据位后是奇、偶校验位,最后是停止位(高电平)。是否要奇、偶校验位,以及停止位设定的位数是1,1.5位或2位都由初始化时设置异步方式字来决定。,5.通信中必须遵循的规定 字符格式的规定 通信中,传输字符的格式要按规定写,图10.5是异步通信的字符格式。在异步传输方式每个字符在传送时,前面必须加一个起始位,后面必须加停止位来结束,停止位可以为1位,1.5位,2位。奇、偶校验位可以加也可以不加。,比特率、波特率(baudrate)比特率:比特率作为串行传输中数据传输速度的测量单位,用每秒传输的二进制数的位数bit/s(位/秒)来表示。波特率:波特率是用来描述每秒钟内发生二进制信号的事件数,用来表示

15、一个二进制数据位的持续时间。,有关在远距离传输时,数字信号送到传输介质之前要调制为模拟信号,再用比特率来测量传输速度就不那么方便直观了。因此引入波特率作为速率测量单位即:波特率1/二进制位的持续时间比特率可以大于或等于波特率,假定用正脉冲表示“1”,负脉冲表示“0”,这时比特率就等于波特率。假如每秒钟要传输10个数据位,则其速率为l0波特,若发送到传输介质时,把每位数据用10个脉冲来调制,则比特率就为100b/s,即比特率大于波特率。,发送时钟与波特率的关系是:时钟频率72波特率(n可以是l,16,32,64。,2为波特率因子,是传输一位二进制数时所用的时钟周期数。不同芯片的n由手册中给出)。

16、波特率是表明传输速度的标准,国际上规定的一个标准的波特率系列是:110,300,600,1200,1800,2400,4800,9600,19200。大多数CRT显示终端能在1109600波特率下工作,异步通信允许发送方和接收方的时钟误差或波特率误差在45。,6.信号的调制与解调 计算机对数字信号的通信,要求传输线的频带很宽,但在实际的长距离传输中,通常是利用电话线来传输,电话线的频带一般都比较窄。为保证信息传输的正确,都普遍采用调制解调器(modem)来实现远距离的信息传输,现在还有很多家庭上网仍使用modem连接。,调制解调器,顾名思义主要是完成调制和解调的功能。经过调制器(modulat

17、or)可把数字信号转换为模拟信号,经过解调器(demodulator)把模拟信号转换为数字信号。使用modem实现了对通信双方信号的转换过程,如图10.6所示。现在modem的数据传输速率理论值可达72Kb/s,而实际速率仅为33.6Kb/s。,图10.6 调制与解调过程,10.2 可编程并行接口电路Intel 8255A,并行接口电路,在早期的微机中与串行口、软盘接口、硬盘接口等都放在一块多功能接口卡上,插在微机的扩展槽上使用。现在这部分电路已在微机的主板上由与CPU配套的芯片组北桥来实现其功能。如果要在其他的场合实现并行数据传送,在电路设计时采用专用的接口芯片最为方便。可编程的接口芯片82

18、55A是完成并行通信的集成电路芯片。,10.2.1 8255A的主要性能和内部结构 8255A是为Intel公司的80系列微机配套的通用可编程并行接口芯片,具有三个可编程的端口(A端口、B端口和C端口),每个端口8条线,共有24条I/O引脚,也可分为2组工作,每组12条线,并有三种工作方式。可编程是指可通过软件设置芯片的工作方式,因此这个芯片在与外部设备相连接时,通常不需要附加太多的外部逻辑电路,这给用户的使用带来很大方便。,芯片的主要技术性能如下:(1)输入、输出电平与TTL电平完全兼容。(2)时序特性好。(3)部分位可以直接置“1”/置“0”,便于实现控制接口使用。(4)单一的+5 V电源

19、。8255A的内部结构框图如图10.7(a)所示,图10.7(b)为8255A的外引脚图。从图中可以看到,8255A主要由4部分组成。,图10.7 8255内部结构和引脚图(a)8255A内部结构;(b)8255A外引脚图,1.三个独立的数据口 8255A的三个数据口分别是A端口、B端口、C端口,它们彼此独立,都是8位的数据口,用来完成和外设之间的信息交换。三个口在使用上有所不同。1)A端口 A端口对应一个8位的数据输入锁存器和一个8位的数据输出锁存器和缓冲器。因此A端口适合用在双向的数据传输场合,用A端口传送数据,不管是输入还是输出,都可以锁存。,2)B端口和C端口 这两个口分别是由一个8位

20、的数据输入缓冲器和一个8位的数据输出锁存器和缓冲器组成。因此用B端口和C端口传送数据作输出端口时,数据信息可以实现锁存功能;而用作输入口时,则不能对数据实现锁存,这一点在使用中要注意。在实际应用中,A端口和B端口通常作为独立的输入口和输出口,而C端口常用来配合A端口和B端口的工作使用。C端口分成两个4位的端口,这两个4位的端口分别作为A端口和B端口的控制信号和输入状态信号使用。,2.A组控制电路和B组控制电路 控制电路分成A组控制和B组控制两组,A组控制电路控制A端口和C端口的高4位(PC4PC7)。B组控制电路控制B端口和C端口的低4位(PC0PC3)。这两组控制电路的作用是:由它们内部的控

21、制寄存器接收CPU输出的方式控制命令字,还接收来自读/写控制逻辑电路的读/写命令,根据控制命令决定A组和B组的工作方式和读/写操作。,表10-1 8255A的控制信号与执行的操作之间的对应关系,4.数据总线缓冲器 这是一个双向、三态的8位数据总线缓冲器,是8255A和系统总线相连接的通道,用来传送输入/输出的数据、CPU发出的控制字以及外设的状态信息。总之,8255A与CPU之间的所有信息传输都要经过数据总线缓冲器。,10.2.2 8255A的外部特性 8255A是40条引脚的双列直插式芯片,引脚排列如图10.7(b)所示。单一的+5 V电源,使用时要注意它的+5V电源引脚是第26脚,地线引脚

22、是第7脚,它不像大多数TTL芯片电源和地线在右上角和左下角的位置,除了电源和地线之外,其他引脚的信号按连接的功能可分为两大组。,A1、A0(8,9PIN):端口译码信号。用来选择8255A内部的三个数据端口和一个控制端口的地址。其中对控制口只能进行写操作。(1)当A1A0=00时,选中A端口。(2)当A1A0=01时,选中B端口。(3)当A1A0=10时,选中C端口。(4)当A1A0=11时,选中控制端口。A1、A0与读、写信号组合对各端口所执行的操作如表10-1所示。D7D0(2734PIN):双向三态8位数据线,与系统的数据总线相连接。,8255A的数据线为8条,这样8位的接口芯片在与80

23、86外部数据线为16条的CPU相连接时,应考虑接口芯片本身对地址的要求。由于在8086这样的16位外部总线系统中,CPU在进行数据传输时,低8位对应一个偶地址,高8位对应一个奇地址。如果将8255A的数据线D7D0与8086CPU的数据总线的低8位相连的话,从CPU这边看来,要求8255A的4个端口地址都应为偶地址,这样才能保证对8255A的端口的读/写能在一个总线周期内完成,但又要满足8255A本身对4个端口规定的地址要求是00,01,10,11。因此将8255A的A1和A0分别与8086系统总线的A2和A1相连,而将最低位A0总设置为0。,2.和外设端相连的引脚PA7PA0(3740 PI

24、N,l4PIN):A端口的输入/输出引脚PB7PB0(2518 PIN):B端口的输入/输出引脚PC7PC0(1013,1714 PIN):C端口的输入/输出引脚,10.2.3 8255A的控制字和编程 由CPU执行输出指令,向8255A的端口输出不同的控制字来决定它的工作方式。控制字分为两种,分别称为方式选择控制字和端口C置1/置0控制字。根据控制寄存器的D7位的状态决定是哪一种控制字。1.方式选择控制字 方式选择控制字用来决定8255A三个数据端口各自的工作方式,它的格式如图10.8所示。它由一个8位的寄存器组成。,图10.8 8255A的方式选择控制字,D7位为“1”时,为方式选择控制字

25、的标识位。D6、D5位决定A端口的工作方式,D6D5位为00、01、1x时分别表示A端口工作在方式0、方式1和方式2下。D4位决定A端口工作在输入还是输出方式。D4位为0时,A端口工作在输出方式;D4位为1时,A端口工作在输入方式。D3位决定用于A端口的C端口高4位PC7PC4是作为输入端口,还是作为输出端口。D3位为0时,PC7PC4作输出;D3位为1时,PC7PC4作输入。D2位用来选择B端口的工作方式。D2位为0时,B端口工作在方式0,D2位为1时,B端口工作在方式1。,D1位决定B端口作为输入还是输出端口。D1位为1时B端口工作在输入方式;D1位为0时B端口工作在输出方式。D0位决定用

26、于B端口的C端口低4位PC3PC0作为输入,还是输出。D0位为0时,PC3PC0作输出;D0位为1时,PC3PC0作输入。如果要求8255A的A端口作输入,B端口和C端口作输出,A组工作在方式0,B组工作在方式1,用三条指令可完成对芯片工作方式的选择。,MOVAL,94H;方式选择控制字送ALMOVDX,PortCtr;控制端口地址PortCtr送DXOUTDX,AL;方式选择控制字输出给8255A的控制端 口,完成方式选择,2.C端口置1/置0控制字 8255A在和CPU传输数据的过程中,经常将C端口的某几位作为控制位或状态位来使用,从而配合A端口或B端口的工作。为了方便用户,在8255A芯

27、片初始化时,C端口置1/置0控制字可以单独设置C端口的某一位为0或某一位为1。控制字的D7位为“0”时,是C端口置1/置0控制字中的标识位,具体的格式如图10.9所示。,图10.9 8255A的C端口置1/置0控制字,D6D4位可为任意值,不影响操作。D3D1位用来决定对C端口8位中的哪一位进行操作。D0位用来决定对D3D1所选择的位是置1,还是置0。例如,要将C端口的PC3置0,PC7置1,可用下列程序段实现。MOVAL,06H;PC3置0控制字送ALMOVDX,PortAdd;控制端口地址PortAdd送DXOUTDX,AL;对PC3完成置0操作MOVAL,0FH;PC7置1控制字送ACO

28、UTDX,AL;完成对PC7置1操作,10.2.4 8255A的工作方式 8255A有三种工作方式,分别称为方式0,方式1和方式2。其中A端口可以工作在三种方式中的任一种;B端口只能工作在方式0和方式1;C端口通常作为控制信号使用,配合A端口和B端口的工作。每种工作方式的具体内容如下所述。,1.方式0:基本的输入/输出方式 方式0之所以被称为基本的输入/输出方式,是因为在这种方式下,A端口、B端口和C端口(C端口分为2个4位使用)都可提供简单的输入和输出操作,对每个端口不需要固定的应答式联络信号。工作在方式0时,在程序中可直接使用输入指令(IN)和输出(OUT)指令对各端口进行读写。方式0的基

29、本定义是2个8位的端口和2个4位的端口。任何一个端口都可以作为输入或输出,输出的数据可以被锁存,输入的数据不能锁存。方式0的输入时序如图10.10所示,输出时序如图10.11所示。从输入时序图可以看到,对各信号的要求是:,图10.10 8255A方式0输入时序,图10.11 8255A方式0输出时序,表10-2 8255方式0输入时序各参数说明,从输出时序图可以看到,为了将数据能可靠地输出到8255A,对各信号的要求是:(1)地址信号必须在写信号之前有效,同时要求在信号有效(也就是为低电平时)期间内,地址信号不能发生变化,要保证一直有效,直到在撤消(变高后)后的20 ns时间以后,地址信号才允

30、许发生变化。(2)写脉冲(为低电平时间)的宽度最小要求是400 ns。(3)要求数据也必须在写信号之前最少有100 ns时间出现在数据总线上。写信号撤消后,数据的最小保持时间是30 ns。,表10-3 8255A方式0输出时序各参数说明,满足上述条件,写信号结束后,最长经过350 ns的时间,CPU输出的数据就可以出现在8255A的指定端口。方式0一般用于无条件传送的场合,不需要应答式联络信号,外设总是处于准备好的状态。也可以用作查询式传送,查询式传送时,需要有应答信号。可以将A端口、B端口作为数据口使用。把C端口分为2部分,其中4位规定为输出,用来输出一些控制信息,另外4位规定为输入,用来读

31、入外设的状态。利用C端口配合A端口和B端口完成查询式的I/O操作。,2.方式1:选通输入/输出方式 在这种方式下,当A端口和B端口进行输入输出时,必须利用C端口提供的选通和应答信号,而且这些信号与C端口中的某些位之间有着固定的对应关系,这种关系是硬件本身决定的不是软件可以改变的。由于工作在方式l时,要由C端口中的固定位来作为选通和应答等控制信号,因此称方式1为选通的输入/输出方式。方式1的基本定义是,分成2组(A组和B组),每组包含一个8位的数据端口和1个4位的控制/数据端口。8位的数据端口既可以作为输入,也可以作为输出,输入和输出都可以被锁存。4位的控制/数据端口用于传送8位数据端口的控制和

32、状态信息。,图10.12 方式1选通输入下对应的控制信号(a)对A端口;(b)对B端口,图10.13 8255A方式l输入时序,表10-4 8255A方式1输入时序参数说明,当8255A的A端口和B端口工作在选通输入方式时,对应的C端口固定分配,规定是PC3PC5分配给A端口,PC0PC2分配给B端口,C端口剩下的2位PC7、PC6可作为简单的输入/输出线使用。控制字的D3位为“1”时,PC7、PC6作输入;控制字的D3位为“0”时,PC7、PC6作输出。,INTE(Interrupt Enable):中断允许信号,高电平有效。该信号为高时,允许中断请求,为低时则屏蔽中断请求。INTE的状态是

33、用软件通过由C端口置1/置0控制字来控制的,在A组中,使PC4置“1”后INTEA变高;在B组中,使PC2置“1”后INTEB变高,A端口和B端口才允许中断。如果PC4和PC2都置“0”,与之对应的INTE信号为低,则禁止中断。对于这种选通的输入方式,如果采用查询式输入时,CPU先查询8255A的输入缓冲器是否满了,也就是IBF是否为高?如果输入缓冲器满信号IBF为高,则CPU就可以从8255A读入数据。如果采用中断方式传送数据时,应该先用C端口置1/置0的控制字使相应的端口允许中断,也就是要使PC4或PC2置1。,2)选通的输出方式 方式1在选通输出情况下对应的控制信号如图10.14所示,图

34、10.15是方式1选通输出情况下的工作时序图。这种方式的工作过程与选通输入的情况相类似。,图10.14 方式l输出时C端口对A、B端口的控制(a)对A端口;(b)对B端口,图10.15 8255A方式1输出时序,当8255A的A端口和B端口工作在选通输出方式时,对应的C端口也是固定分配,规定是PC3、PC6、PC7分配给A端口;PC2、PC1、PC0分配给B端口,剩下的2位PC4、PC5可作为简单的输入/输出线使用。当控制字的D3位为“1”时,PC4、PC5作输入;当控制字的D3位为“0”时,PC4、PC5作输出。,表10-5 8255A方式1输出时序参数说明,INTE:中断允许信号,高电平有

35、效。当该信号为“1”时,允许中断,为“0”时,A端口(B端口)处于中断屏蔽状态,即不发出中断请求信号INTR。在使用时,中断允许信号INTE是用软件通过对C端口置1/置0的控制字来设置的。当PC6置1时,A端口允许中断;PC2置1,B端口允许中断。反之,如果A、B端口所对应的PC6、PC2置0时,则处于中断屏蔽状态,即不允许中断。,3.方式2:带选通的双向传输方式 在双向的传输方式中,8255A可以向外设发送数据,同时CPU通过这8位数据线又接收外设的数据,因此称为双向的传输方式。方式2的基本定义是,只能适用于A端口,一个8位的双向端口(A端口)和1个5位的控制端口(C端口)。A端口的输入和输

36、出都可以被锁存。5位的控制端口用于传送8位双向端口的控制和状态信息。当A端口工作在方式2时,由PA7PA0作为8位数据线,因为要由C端口对A端口进行控制,所以称为带选通的双向传输方式。C端口对A端口的控制信号分别如图10.16和图10.17所示。在这种方式下,C端口中有5位PC7PC3作为控制信号和状态信息使用,剩下的3位PC2PC0可作为简单的输入/输出线使用。当控制字的D0位为1时,PC2PC0作输入;当控制字的D0位为0时,PC2PC0作输出。,图10.16 方式2时C端口对A端口的控制信号,INTEl:输出中断允许信号。当该信号为“1”时,允许8255A向CPU发出由A端口输出数据的中

37、断请求信号。反之,如果该信号为“0”时,即使输出缓冲器空,也不允许8255A向CPU发中断请求信号。INTEl信号的置1或置0,是用软件使C端口的PC6置1或置0来实现的。,INTE2:输入中断允许信号。当该信号为“1”时,允许8255A中A端口的输入处于中断允许状态,反之,如果该信号为“0”时,A端口的输入处于中断屏蔽状态,即不允许中断。INTE2信号的置1或置0,同样是用软件通过C端口的PC4置1或置0来实现。,图10.17 8255A方式2时序,表10-6 8255A方式2时序的参数说明,图10.18 8255A与打印机的接口方式(a)查询方式电路图;(b)中断方式电路图,A端口地址用P

38、ortA表示,C端口地址用PortC表示,控制端口地址用PortCtr表示。输出500个字符程序段如下:MOVAL,0A8H;A端口方式1输出,PC4输入 MOVDX,PortCtr;控制口送DX OUTDX,AL;输出控制字 MOVCX,500;传送500个字符 MOVDI,Buffer;送字符缓冲区首址LOOP1:MOV AL,DI MOVDX,PortA;A端口地址送DX,OUTDX,AL;从A端口输出一个字符MOVDX,PonC;C端口地址送DXNEXT:IN AL,DX;从C端口读入打印机状态TESTAL,10H;测试BUSY信号JNZNEXT;如果打印机忙,等待INCDI;缓冲区首

39、址加1LOOPLOOP1;继续输出下一个字符,PUSHES PUSHBX;保存0BH中断向量PUSHDSMOVDX,OFFSET INTSERV;中断子程序的偏移地址送DXMOVAX,SEG INTSERVMOVDS,AX;中断子程序段地址送DS;设置0BH中断向量,即将DS,DX的内容传送到中断向量表中MOVAL,0BHMOVAH,25HINT21H,10.3 可编程串行接口电路Intel 8251A,10.3.1 8251A的主要性能和内部结构 825lA是可编程的串行通信接口芯片,它的基本性能如下:(1)可工作在同步方式,也可工作在异步方式。同步方式下波特率为064 000波特,异步方式

40、下波特率为019 200波特。(2)在同步方式时,每个字符可定义为5、6、7或8位。两种方法实现同步,由内部自动检测同步字符或由外部给出同步信号。允许同步方式下增加奇/偶校验位进行校验。,(3)在异步方式下,每个字符可定义为5、6、7或8位,用1位作奇偶校验。时钟速率可用软件定义为波特率的l、16或64倍。另外,8251A在异步方式下能自动为每个被输出的数据增加1个起始位,并能根据软件编程为每个输出数据设置1位、1.5位或2位停止位。,(4)能进行出错检测。带有奇偶、溢出和帧错误等检测电路,用户可通过输入状态寄存器的内容进行查询。8251A的内部结构框图如图10.19所示。从图中可以看出,它由

41、数据总线缓冲器、读/写控制逻辑、发送缓冲器、发送控制器、接收缓冲器、接收控制器、调制/解调器控制逻辑、同步字符寄存器及控制各种操作的方式寄存器等组成。各部件实现的功能如下所示。,图10.19 825lA内部结构原理框图,1)数据总线缓冲器 数据总线缓冲器通过8位数据线D7D0和CPU的数据总线相连,负责把接收口接收到的信息送给CPU,或把CPU发来的信息送给发送口。还可随时把状态寄存器中的内容读到CPU中,在825lA初始化时,分别把方式字、控制字和同步字符送到方式寄存器、控制寄存器和同步字符寄存器中。,2)读/写控制逻辑,表10-7 8251A的控制信号与执行的操作之间的对应关系,3)发送缓

42、冲器与发送控制器 发送缓冲器包括发送移位寄存器和数据输出寄存器,发送移位寄存器通过825lA芯片的TXD管脚将串行数据发送出去。数据输出寄存器寄存来自CPU的数据,当发送移位寄存器空时,数据输出寄存器的内容送给移位寄存器。发送控制电路对串行数据实行发送控制。发送器的另一个功能是发送中止符(BREAK),中止符由在通信线上的连续低电平信号组成,它是用来在全双工通信时中止发送终端的,只要8251A的命令寄存器的bit3为“1”,发送器就始终发送终止符。,4)接收缓冲器与接收控制器 接收缓冲器包括接收移位寄存器和数据输入寄存器。串行输入的数据通过8251A芯片的RXD管脚逐位进入接收移位寄存器,然后

43、变成并行格式进入数据输入寄存器,等待CPU取走。接收控制电路是用来控制数据接收工作。,5)调制/解调器控制逻辑 利用8251A进行远距离通信时,发送方要通过调制解调器将输出的串行数字信号变为模拟信号,再发送出去。接收方也必须将模拟信号经过调制解调器变为数字信号,才能由串行接口接收。在全双工通信方式下,每个收、发口都是要连接调制解调器。调制解调器控制电路是专为调制解调器提供控制信号用的。,10.3.2 8251A的外部特性,图10.20 8251A引脚图,1.8251A与CPU的接口信号 8251A与CPU的接口信号可以分为五类,具体如下。1)双向的数据信号线D7D0 825lA有8条数据线D7

44、D0,D7为最高位,D0为最低位。825lA通过这8根线和CPU的数据总线相连接,实际上,数据线上不只是传输数据,还传输CPU对825lA的编程命令字和825lA送往CPU的状态信息。,5)与接收有关的联络信号。RXRDY(输出,14引脚)为接收器准备好信号,高电平有效。当该信号为高时,表示825lA已从外部设备或调制解调器中收到一个字符,等待CPU取走。它可以作为中断请求信号或查询联络信号与CPU联系。,SYNDET/BRKDET(输入/输出,16引脚)为同步检测/断缺检测信号,高电平有效。在同步方式下,SYNDET执行同步检测功能,可以工作在输入状态,也可以工作在输出状态。同步检测分为内同

45、步和外同步两种方式。采用哪种同步方式要取决于8251A的工作方式,由初始化时写入方式寄存器的方式字来决定。当8251A工作在内同步方式时,SYNDET作为输出端,是在8251A内部检测同步字符。如果8251A检测到了所要求的一个或两个同步字符时,SYNDET输出高电平,表示已达到同步,后续收到的是有效数据。当8251A工作在外同步方式时,SYNDET作为输入端。,2.8251A与外部装置之间的接口信号 8251A与外部装置进行远距离通信时,一般要通过调制解调器连接。连接的信号可大致分为数据信号和收发联络信号两类。1)数据信号 TXD(输出,19引脚)为发送数据信号端。CPU送入825lA的并行

46、数据,在825lA内部转换为串行数据,通过TXD端输出。RXD(输入,3引脚)为接收数据信号端。RXD用来接收外部装置通过传输线送来的串行数据,数据进入825lA后转换为并行数据。,使用MC1488和MC1489芯片时,传输时的电平是RS-232 C标准电平,所能传输的最大距离是30 m,一般不超过15 m。数据传输的波特率低于20 000波特。,图10.21 8251A异步通信方式的连接,图10.22 8251A同步通信方式的连接,异步方式时,TXRDY和RXRDY作为中断申请信号使用,与外部中断源连接;同步方式时,TXRDY和RXRDY与调制解调器连接。如果工作在查询方式,均由CPU执行输

47、出指令向奇地址端口写入命令指令,使其开始进行输入/输出工作。8251A初始化编程的流程如图10.23所示。初始化编程主要是对8251A的方式寄存器、控制寄存器和状态寄存器进行编程设置,下面做具体介绍。,图10.23 8251A初始化编程流程图,10.3.4 8251A的控制字 1.方式寄存器 方式寄存器是8251A在初始化时,用来写入方式选择字用的。方式选择有两种:同步方式和异步方式。方式寄存器有8位,最低2位全为0时表示是同步方式,最低2位不全为0时表示是异步方式。具体格式如下。1)8251A工作在同步方式下 当825lA工作在同步方式下时,方式寄存器的格式如图10.24所示。,图10.24

48、 8251A同步方式下方式寄存器的格式,(1)D1D000是同步方式的标志特征,表示同步传送时波特率因子为1,此时芯片上TXC和RXC引脚上的输入时钟频率和波特率相等。(2)D3D2(L2L1)是规定同步传送时每个字符的位数,当L2L1对应为00、01、10、11时,分别表示传输字符的位数是5、6、7、8位。(3)D4(PEN)是规定在传输数据时是否需要奇偶校验位,该位为“1”表示有校验位,为“0”则无校验位。(4)D5(EP)是用来规定校验位的类型,该位为“0”表示是奇校验,为“1”表示是偶校验。,(5)D6(ESD)是用来规定同步的方式,该位为“0”表示是内同步,芯片的SYNDET引脚为输

49、出端;为“1”表示是外同步,SYNDET引脚为输入端。(6)D7(SCS)是用来规定同步字符的数目,该位为“0”表示两个同步字符,为“1”表示一个同步字符。例如,要求825lA作为外同步通信接口,数据位8位,两个同步字符,偶校验,其方式选择字应为十六进制的7CH(01111100B7CH)。,2)8251A工作在异步方式下当8251A工作在异步方式下时,方式寄存器的格式如图10.25所示。,图10.25 8251A异步方式下方式寄存器的格式,(1)D1D0(B2B1)不全为0的情况表示是异步方式,当B2B101时,规定波特率的因子为1;B2B110时,规定波特率因子为16;B2B111时,规定

50、波特率因子为64。(2)D3D2(L2L1)是规定在异步传送时每个字符的位数,与同步方式下的数据位数规定相同。(3)D4(PEN)是规定在异步传输时是否需要校验位,与同步方式下的规定相同。(4)D5(EP)是用来规定异步方式时,数据校验的类型,与同步方式下的规定相同。,(5)D7D6(S2S1)是用来规定异步方式时,停止位的个数。为了和同步方式相区别,当D7D600时,没有定义停止位的个数;当D7D601时,表示1个停止位;当D7D610时,表示1.5个停止位;当D7D611时,表示2个停止位。例如,要求8251A芯片作为异步通信,波特率为64,字符长度8位,奇校验,2个停止位的方式选择字应为

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号