电子设计基础教程.ppt

上传人:小飞机 文档编号:6390959 上传时间:2023-10-26 格式:PPT 页数:122 大小:4.82MB
返回 下载 相关 举报
电子设计基础教程.ppt_第1页
第1页 / 共122页
电子设计基础教程.ppt_第2页
第2页 / 共122页
电子设计基础教程.ppt_第3页
第3页 / 共122页
电子设计基础教程.ppt_第4页
第4页 / 共122页
电子设计基础教程.ppt_第5页
第5页 / 共122页
点击查看更多>>
资源描述

《电子设计基础教程.ppt》由会员分享,可在线阅读,更多相关《电子设计基础教程.ppt(122页珍藏版)》请在三一办公上搜索。

1、1,电子设计与制作,2,目 录第1章 模拟电子线路设计第2章 数字电子线路设计,3,第1章 模拟电子线路设计1.1 常用模拟集成电路介绍1.1.1 模拟电路简介的分类 模拟电路是一种能够处理模拟信号的电子电路,其输出信号一般是输入信号的线性函数。处理的信号在时间和幅值上都是连续的。构成模拟电路的主要器件有源器件,主要有三极管、场效应管和线性集成电路等。按频率分类,有直流电路、低频电路、高频电路和全频带电路等。,4,集成电路分为单片线性集成电路和混合集成电路。线性集成电路按用途可分为运算放大器、功率放大器、集成稳压器和高频放大器等。1.1.2 集成运算放大器1、集成运算放大器简介 集成运算放大器

2、基本实现了标准化,只要功能相同,参数相近的同型号器件,不论是何公司的产品,都可以互换,能否为各种各样的模拟电路选择最合适的运算放大器代表了一个电子工程师能力的强弱和水平的高低。,5,通用双极性运算放大器有:A741、LM301等。双运放有RC4558等。低噪声运算放大器适合用作放大器的前级放大,如:NE5532、NE5534等。高输入运算放大器分BiFET运算放大器和BiMOS运算放大器,如:TL081、CA3140等。高速宽带运算放大器的压摆率为10MV/s、带宽为10MHZ以上的运算放大器,如:LM318和HA2500等。,6,高精度运算放大器具有低失调电压和低失调电压温飘,适用于放大热电

3、偶输出的微弱信号,如:A725、OP07、AD625等低功耗运算放大器通常有两类:一类是不需要采取外围措施就能达到低功耗,如:PC253;另一类是通过外部设定的可编程型。运算放大器的工作电压大多使用15V电源,输出电流在5mA25mA之间。高压运算放大器的工作电压上限为30V40V。,7,2、集成运算放大器产品数据手册的使用(1)输入失调电压VIO和输入失调电流IIO;(2)输入偏置电流;IIB(3)最大额定值,有:最大电源电压,如18V;最高工作温度,如70;(4)共模抑制比,CMRR=|AVD|/|AVC|;(5)温度漂移,有输入失调电压温飘VIO/t和输入失调电流温飘IIO/t;(6)开

4、环差模电压增益;AVD,8,(7)输入、输出阻抗;(8)转换速率SR=(dVO/dt)和单位增益带宽BWG。3、运算放大器构成的常用模拟电路(1)反相、同相放大电路;(2)加法电路;(3)减法电路;(4)低通滤波器和高通滤波器;(5)比较电路;(6)滞回比较电路;,9,(7)仪用放大电路;(8)输出电流增大电路;(9)交流直流变换电路;(10)电流检测电路;(11)峰值检测电路。1.1.3 集成功率放大电路1、集成功率放大电路简介2、集成功率放大电路产品数据手册的使用,10,(1)电源电压范围;(2)静态电源电流;(3)频带宽度3、集成功率放大电路构成的常用模拟电路(1)基本功率放大电路;(2

5、)高电压增益功率放大电路。,11,1.1.4 集成稳压电路1、集成稳压电路简介 线性集成稳压电路是电子电流中常用的一种稳压电路,具有结构简单,调节方便,输出电压稳定性高和纹波电压小的特点。只有输入、输出和公共端,称为三端稳压器。,12,(1)三端固定输出集成稳压器78:输出正电压79:输出负电压输出电压等级10个(对应):05、06、08、09、10、12、15、18、(20)、24V输出电流等级:L:0.1A,例:78L05,5V 0.1AM:0.5A 例:78M12,12V 0.5A,13,N:1.0A无标:1.5A 例:7915,15V 1.5AT:3AH:5AP:10A注:使用中一定要

6、注意功耗不能超过允许的最大值,功耗较大时,要外加散热片。,14,(2)三端可调输出集成稳压器LM117217317:输出正电压LM137237337:输出负电压输出电压范围:1.2V37V输出电流等级:L(0.1A)、M(0.5A)、无标(1.5A)例:LM317:1.2V37V 1.5A、LM317M:1.2V37V 0.5A、LM337L:1.2V37V 0.1A,15,2、集成稳压电路产品数据手册的使用(1)输入输出压差,一般要求大于3V;(2)电压和负载调整率(3)最小负载电流,LM317在使用中,最小负载电流应大于5mA。3.集成稳压电路构成的常用模拟电路(1)78XX和79XX典型

7、应用电路;(2)LM317典型应用电路;(3)程序控制稳压电路。,16,1.2 模拟电路设计举例 1.2.2 简易浴室水温控制电路1、设计任务和要求(1)设计任务 设计一个简易浴室水温控制电路。该电路能够将水温控制在一个合适的范围,并能够手动调温。(2)设计要求,17,要求电路能够通过两根电阻丝实现对水温的控制。设温度范围是t1t2,当t t2时,两根电阻丝都不加热;要求电路在t1、t2两个温度点不能出现跳闸现象,即加热抖动现象;要求电路能显示电阻丝通电与否;画出系统框图,写出设计过程利用Protel工具画出完整的电路原理图,列出全部元器件清单。,18,2、系统组成框图3、主要单元电路设计(1

8、)水温监测电路和水温范围测量电路;(2)电阻丝开关电路和显示电路;(3)电源电路。4、电路原理图5、元器件清单6、特别说明,19,水温控制电路,20,1.2.3 简易声控延时照明电路1、设计任务和要求(1)设计任务 设计一个简易声控延时照明电路。(2)设计要求要求电路能够通过声强对照明灯开关的控制,达到控制照明灯的亮灭;要求电路在照明灯点亮后延时t后自动关断,延时时间t手动可调;要求电路在照明灯点亮后又有新的声源出现,能够重新通电t时间;,21,画出系统框图,写出设计过程利用Protel工具画出完整的电路原理图,列出全部元器件清单。2、系统组成框图3、主要单元电路设计(1)声强监测电路和声强信

9、号处理电路;(2)延时控制电路和照明灯开关电路;(3)电源电路。,22,4、电路原理图5、元器件清单6、特别说明,23,简易声控延时照明1,24,声、光控延时照明电路2,25,1.2.4 简易电池自动恒流转恒压充电电路(另做设计方案)1、设计任务和要求(1)设计任务 设计一个简易电池自动恒流转恒压充电电路,当电池的电量尚未充足阶段,采用恒流方式充电,当电池的电量充足后自动转入恒压涓流方式充电。(2)设计要求,26,要求电路能够检测电池的端电压;若电池的端电压低于额定值,采用恒流方式充电;若电池的端电压达到额定值,自动转入恒压涓流方式充电;画出系统框图,写出设计过程利用Protel工具画出完整的

10、电路原理图,列出全部元器件清单。,27,2、系统组成框图3、主要单元电路设计(1)变压、整流、滤波电路;(2)充电电流检测电路和充电状态指示电路;(3)输出电压监视电路和充足状态指示电路。4、电路原理图5、元器件清单6、特别说明,28,电池自动恒流转恒压充电电路,29,1.2.5 简易家用瓦斯报警电路1、设计任务和要求(1)设计任务 设计一个简易瓦斯报警电路,当空气中危险气体的含量较大时能够自动报警,当危险气体的含量大到对人体有害时,自动切断危险气体的气源。(2)设计要求要求电路能够检测出空气中是否含有煤气灯危险气体;,30,当空气中危险气体的含量较大时能够自动报警;当危险气体的含量大到对人体

11、有害时,自动切断危险气体的气源;画出系统框图,写出设计过程利用Protel工具画出完整的电路原理图,列出全部元器件清单。2、系统组成框图3、主要单元电路设计(1)危险气体监测电路和检测电路;,31,(2)声光报警电路;(3)自动切断电路。(4)电源电路。4、电路原理图5、元器件清单6、特别说明,32,瓦斯报警电路,33,1.2.6 简易自来水自动感应开关电路1、设计任务和要求(1)设计任务 设计一个简易自来水自动感应开关电路,当人需要用水的时候,通过感应装置自动打开自来水开关,人体离开后,延时一段时间,自动关断。(2)设计要求要求电路能够通过感应装置(红外线检测器)自动打开自来水开关;,34,

12、人体离开后,延时一段时间,自动关断;能够调节延时时间;画出系统框图,写出设计过程利用Protel工具画出完整的电路原理图,列出全部元器件清单。2、系统组成框图3、主要单元电路设计(1)红外线脉冲发射电路;(2)红外线接收电路;,35,(3)时间延时电路;(4)自来水开关电路;(5)电源电路。4、电路原理图5、元器件清单6、特别说明,36,自来水自动感应开关电路,37,自来水自动感应PCB电路版图,38,第2章 数字电子线路设计2.1 常用数字集成电路介绍2.1.1 数字集成电路使用与注意事项 数字集成电路按制造工艺分为双极性集成电路(如TTL等)和单极性集成电路(如CMOS等)。1、TTL数字

13、集成电路(1)TTL与非门电路的主要参数,39,电流参数(标准系列)IiL:低电平输入电流,约1.6mAIiH:高电平输入电流,约50AIoL:低电平输出电流,约12mAIoH:高电平输出电流,约 0.4mA 电压参数UiL:低电平输入电压,最大值0.8VUoL:低电平输出电压,最大值0.4VUnL:低电平噪声容限,约0.4VUiH:高电平输入电压,最小值2V,40,UoH:高电平输出电压,最小值2.4VUnH:高电平噪声容限,约0.4V 电源(VccGND)54系列:50.5V74系列:50.25V 速度与功耗5474:10ns10mW54H74H:6ns22mW54L74L:33ns1mW

14、,41,54S74S:3ns19mW54LS74LS:9.5ns2mW54ALS74ALS:3.5ns1mW54AS74AS:3ns8mW54F74F:3.4ns4mW 扇出系数:8(2)74系列为商用级TTL电路,工作环境温度为070;54系列为军用级TTL电路,工作环境温度为55 125;,42,(3)TTL电路使用注意事项电源电压VCC,74系列工作电压范围为5V5,54系列工作电压范围为5V10。输入端的处理,对于“与”、“与非”逻辑关系的器件,多余的输入端可以直接与电源VCC相连,也可以经过一只几百欧姆的电阻与电源VCC相连;对于“或”、“或非”逻辑关系的器件,多余的输入端只能接地。

15、输出端的处理,除三态(TS)门、集电极开路(OC)门外,其他的逻辑电路的输出端不允许直接并联使用。输出端也不允许直接接电源或地,否则极易损坏器件。,43,2、CMOS数字集成逻辑电路(1)CMOS数字集成逻辑电路的特点与分类 CMOS电路式互补金属氧化物绝缘栅场效应管集成电路的简称,利用NMOS管与PMOS管组合的数字逻辑电路,其特点是:功耗低(W级)、电源电压范围宽(318V)、抗干扰能力强、输入阻抗高(大于100M)、带负载能力强,通用的CMOS电路有4000/4500标准系列、74HC和74HCT高速CMOS系列等。,44,(2)CD4000 MC14500系列参数 电压参数UiL:低电

16、平输入电压,VDD5V时,最大值1.5V VDD15V时,最大值4VUiH:高电平输入电压 VDD5V时,最小值3.5V VDD15V时,最小值11V,45,UoL:低电平输出电压,最大值约0.05VUoH:高电平输出电压 VDD5V时,最小值4.95V VDD15V时,最小值14.95V 电流参数IoL:低电平输出电流 VDD5V时,最小值0.5mA VDD15V时,最小值3.4mA,46,IoH:高电平输出电流 VDD5V时,最大值0.5mA VDD15V时,最大值 3.4mA输入电流:0.1A 0.3A 电源(VDDVSS):3V18V 功耗:与电源电压、工作速度有关 静态功耗:微瓦级;

17、工作速度:100ns 扇出系数:50,47,54HC74HC系列电压参数(VDD 5V)UiL:低电平输入电压 HC系列,最大值0.9;HCT系列,最大值0.8VUiH:高电平输入电压 HC系列,最小值3.15V HCT系列,最小值2V,48,UoL:低电平输出电压,最大值约0.1VUoH:高电平输出电压,最小值4.4V 电流参数IoL:低电平输出电流,最小值4mAIoH:高电平输出电流,最小值4mA 静态功耗:微瓦级;工作速度:8ns 扇出系数:50,49,(3)CMOS电路使用注意事项电源电压VDD绝不能接反,VDD接电源正极,VSS接电源负极,在电源电压515V范围较好。输入端的处理,绝

18、不能悬空开路,多余的输入端最好不要并联使用。对于“与”、“与非”逻辑关系的器件,多余的输入端可以直接与电源VDD相连,也可以经过一只几百千欧姆以下的电阻与电源VDD相连;对于“或”、“或非”逻辑关系的器件,多余的输入端可以经过一只几百千欧姆以下的电阻或直接接地;低频工作时,可以在输入端串联一只限流电阻(10100k);,50,输出端的处理,除三态(TS)门、集电极开路(OC)门外,其他的逻辑电路的输出端不允许直接并联使用。输出端也不允许直接接电源或地,否则极易损坏器件。锁定效应的保护,当CMOS电路的输入端施加的电压过高,超过VDD或过低,小于VSS,或输入的信号电压超前于电源电压的建立,或输

19、入的信号电压滞后于电源电压的撤除,或电源电压突然大范围的变化,都会引起电源电流迅速增加,造成电路的永久损坏。所以必须保证输入信号的数值在VDD与VSS之间,输入端加钳位保护电路,电源与地之间加退耦电容。,51,3、TTL电路与CMOS电路的接口(1)用TTL电路驱动CMOS电路在TTL电路的输出端加上拉电阻;使用带电平移位的CMOS接口电路,如40109等;TTL电路可以直接驱动74HCT系列CMOS电路。(2)用CMOS电路驱动TTL电路标准CMOS电路可以直接驱动一个TTL电路;74HCT或74HC系列CMOS电路可以直接驱动TTL电路;用专用的CMOS电路驱动TTL电路,如4009、40

20、10、4049、4050等。,52,4、集成逻辑门电路的基本应用(1)门电路构成的时钟源;(2)门电路构成的触发器。2.1.2 常用数字集成电路1、常用组合集成电路介绍(1)优先编码器74LS148;(2)二进制译码器74LS138等;(3)BCD码7段显示、译码、驱动器74LS48、74LS247等,53,2、常用时序集成电路介绍(1)计数器74LS16374LS191(2)移位寄存器74LS16474LS194(3)锁存器74LS373,54,2.1.3 集成V/F和F/V变换器的特点与应用 电压频率(Uf)变换器,将输入电压转换成输出脉冲,两者保持线性关系,实际上也是一种A D转换器。代

21、表电路有LM331。Uf 变换器的优点:接口简单,占有计算机资源少频率信号输入灵活Uf 转换过程是对输入信号积分,抗干扰性能好便于远距离传送1、LMX331系列Uf和fU变换器介绍,55,满量程频率范围位1HZ100k HZ;低的线性度位0.01;双电源或单电源工作(440V)最大失调电压为14mV;工作电流为8mA,功耗低,当电源电压为5V时;功耗为5mW;稳定性好,温度系数为50106;动态范围宽(10kHZ量程下最小值为100dB);脉冲输出与所有逻辑形式兼容。,56,(1)LM331的管脚排列和功能说明2.LMX331系列Uf和fU变换器的应用电路(1)Uf变换器应用电路简单的Uf变换

22、器;高精度Uf变换器;高速Uf变换器;(2)fU变换器应用电路简单的fU变换器;高精度fU变换器。,57,2.1.4 555集成定时器原理特点与应用1、555定时器的工作原理(1)LM555的管脚排列和功能说明2、典型应用(1)单稳态触发器;(2)多谐振荡器;(3)施密特触发器;(4)简易水位报警器(5)时钟脉冲发生器(6)简易电子门铃。,58,2.2 数字电路设计举例 2.2.1 数码显示八路抢答器的设计1、设计任务和要求(1)设计任务 利用数字电路的特点,合理设计一套智力竞赛抢答器电路。(2)设计要求每路设置一个抢答按钮;,59,具有第一抢答信号鉴别和锁存功能,同时声响报讯;电路具有自锁功

23、能;具有抢答席位指示功能。利用Protel工具画出完整的电路原理图,列出全部元器件清单。2、工作原理和系统组成框图3、电路设计(1)输入电路;,60,(2)锁存器控制电路;(3)运算电路;(4)数码显示电路;(5)声响电路4、电路原理图5、元器件清单6、总结,61,数码显示八路抢答器,62,八路抢答器附加违规抢答电路,63,分图1:锁存、席位指示、声响电路,64,分图2:译码显示驱动、电源电路,65,2.2.2 交通信号灯控制电路设计1、设计任务和要求(1)设计任务 设计一个十字路口交通信号灯控制电路。(2)基本要求 在十字交叉路口的每个入口处设置红、绿、黄三色信号灯。主、支干道交替通行,主干

24、道每次放行8T,支干道每次放行6T(如T4s),可根据需要更改;每次绿灯变成红灯时黄灯亮T(此时,另一方向干道上的红灯不变);,66,要有数字显示,作为时间提示,时间显示以秒为单位,倒计时方式;2、工作原理和系统组成框图(1)设计要点;(2)工作原理;3、电路设计(1)秒脉冲发生器电路;(2)分频电路;(3)控制电路;(4)显示电路。4、整体电路原理图5、元器件清单6、总结,67,交 通 信 号 灯,68,分图1:时钟、红、黄、绿灯,69,分图2:倒计时指示灯,70,2.2.3 数字电子钟设计1、设计任务和要求(1)设计任务 用中、小规模数字集成电路设计一台能显示时、分、秒的数字电子钟。(2)

25、基本要求时钟功能,采用LED数码管显示即时时间;校时功能,能快速地校准时、分、秒;,71,整点报时功能,要求整点前鸣5次低频(500HZ);整点事鸣1次高频(1000HZ),鸣号间隔1秒;计时准确,每天误差不超过10s。2、工作原理和系统组成框图(1)设计要点;设计一个精确的秒脉冲信号发生电路;设计60进制、24电路进制计数器;设计操作方便的校时电路;设计整点报时电路。,72,(2)工作原理;3、电路设计(1)高精度秒脉冲信号发生器;(2)时、分、秒计数器电路;(3)显示译码电路;(4)校时电路;(5)整点报时电路。4、整体电路原理图5、元器件清单6、总结,73,数字电子钟,74,分图1:计时

26、电路,75,分图2:时钟和校时电路,76,分图3:报时电路,77,2.2.4 彩灯控制器设计1、设计任务和要求(1)设计任务 用中、小规模数字集成电路设计一台节日的彩灯控制器,用移位寄存器为核心器件设计制作一个8路彩灯控制器。(2)基本要求要求控制8个以上的彩灯;要求彩灯组成2种以上的花形,每种花形连续循环2次,各种花形自动轮流交替。,78,2、工作原理和系统组成框图3、电路设计(1)编码发生器;(2)控制电路;(3)节拍控制脉冲的产生。4、电路原理图5、元器件清单6、总结,79,彩灯控制器,80,2.2.5 汽车尾灯控制器设计1、设计任务和要求(1)设计任务 用中、小规模数字集成电路设计一台

27、汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯,当接通“左转”、“右转”、“刹车”和“检查”时,指示灯按照设计要求闪烁。(2)基本要求尾灯控制由4个开关控制,分别对应;“左转”、“右转”、“刹车”和“检查”功能;,81,当接通“左转”、“右转”开关时,左右两侧的3个各汽车尾灯按左循环或右循环顺序依次点亮;当接通“刹车”开关时,所有尾灯同时亮;当接通“检查”开关时,所有尾灯同时闪烁。2、工作原理和系统组成框图3、电路设计(1)时钟产生电路;(2)循环移动电路;,82,(3)“左转”、“右转”控制电路;(4)“刹车”和“检查”控制电路。4、电路原理图5、元器件清单6、总结,83,汽车尾灯控制器1

28、,84,汽车尾灯控制器2简约版,85,汽车尾灯控制器2简约版,86,汽车尾灯控制器,87,2.2.6 智能远程控制器设计1、设计任务和要求(1)设计任务 本课题所设计的是一种利用市话网络实现对多达6个(当然可以扩展到更多)家庭或办公室中的终端电器设备进行远程遥控的控制器。(2)基本要求 智能远程控制器是通过拨打固定电话来实现控制终端电器设备的开启和关闭。,88,所设计的电路应具有如下功能:初始复位电路电话铃流接收电路铃流延时(计数)电路DTMF(双音频)接收、译码电路密码译码器(防误操作和设备控制),密码设置电路定时电路(自动拆线)驱动电路电源电路,89,2、工作原理 和系统 组成框图,90,

29、3、电路设计4、电路原理图5、元器件清单6、总结,91,智能远程控制器,92,分图1:振铃检测、DTMF译码、上电复位,93,分图2:系统时序、密码设置、输出驱动电源电路,94,分图3:控制译码电路,95,035分时间控制器,96,下面以FM无线发射机设计为例说明方案选择的重要性:,97,(例 1)简易调频无线发射器,本机发射频率在中,图中及外围元件组成电容三点式振荡器,由产生的音频电压使的结电容发生变化,在高频情况下,即使很小的电容变化也会引起很大的频偏。调频信号经放大后送到天线发射。,98,方案1总结,主要优点:电路简单、价格低主要缺点:频率稳定性差、容易跑频、功能单一,99,(例 2)B

30、A1404集成电路立体声FM发射器,BA1404/1404F 是日本东洋电具制作所(Rohm Co.Ltd.)生产的调频立体声发射集成电路。此电路将立体声调制、FM 调制和 RF 放大器等功能集成在一个芯片上。仅仅需要很少的外围元器件就能够获得良好的立体声调频信号。特性简介 采用低电压、低功耗设计,电压在 1V 至 3V 之间,典型值为1.25V,最大功耗 500mW,静态电流为 3mA。它将立体声编码、FM 调制、RF 放大等多个功能集成在一个芯片上,所需外围元件少。两声道分离度高,典型值为 45dB。输入阻抗为 540(fin=1kHz),输入增益为 377dB(Vin=0.5mV)。典型

31、射频输出电压为 600mV。,100,BA1404内部结构框图与作品图,101,BA1404应用电路图,102,方案2总结,主要优点:电路简洁、立体声发射主要缺点:频率稳定性差、仍然容易跑频,103,(例 3)BH1417锁相环调频立体声发射机,BH1417是一个最简单而又实用的集成电路,它集锁相环电路、立体声编码电路、发送电路,外围加上几个几件就组成了一台高频定多频点的HI-FI调频立体声发送器。而且它设置了预加重电路、限幅电路及低通滤波器,可明显地改善音质。其总谐波失真达到了,立体声分离度为,输出电平为。,104,BH1417内部结构图,105,BH1417应用电路,106,BH1417

32、作品,107,方案3总结,主要优点:PLL锁相环稳频无频率漂移、立体声发射主要缺点:价格较贵,108,设计报告的撰写 设计报告的撰写应包括以以下内容。(1)课题名称(2)内容摘要(3)设计任务和要求。(4)总体方案选择的论证。内容含曾考虑过的各方案框图、简要原理和优缺点以及所选定方案之理由等。(5)单元电路的设计、参数计算和元器件的选择。(6)绘出总体电路图及必要的波形图,并说明电路的工作原理。(7)组装与调试,内容应含:使用的主要仪器、仪表。应列出名称、犁号、出产厂家和生产年月等。测试的数据和波形,必要时应与计算结果比较并进行误差分析。组装与调试的方法、技巧和注意事项。调试中出现的故障、原因

33、及诊断与排除方法。(8)所设计电路的特点及改进意见。(9)所用元器件的编号列表。列表项目为序号、符号与编号;名称、型号与规格数量以及必要的说明等。(10)列出参考文献,格式为作者、文献名、刊物名、出版单位、出版时间、卷、页码。(11)收获、体会与建议。,109,电子设计中必备的器件知识,1、常用无源器件:电阻、电容、电感等。应注意使用功率、工作频率、噪声系数、工作损耗等参数进行选择。,110,2、常用有源器件:半导体晶体管、集成电路等。使用中应注意关键的直流、交流参数,器件手册中推荐使用的条件和典型应用电路等。例如:极限工作参数、测试工作条件等等。,111,3、特殊器件与应用,气敏电阻 有一种

34、煤气泄漏报警器,在瓦斯泄漏后会报警,甚至启动脱排油烟机通风。这种报警器内就是装置了一种气敏电阻。这种半导体在表面吸收了某种自身敏感的气体之后会发生反应,而使自身的电阻值改变。它一般有四个电极,两个为加热电极,另两个为测量电极。气敏电阻根据型号对不同的气体敏感。有的是对汽油,有的是对一氧化碳,有的是对酒精敏感。,112,220V市电经电源变压器T1降压至5.5V左右,不用整流、滤波直接作为气敏半导体传感器QMN10的加热电压。控制电路的供电则是由U全桥整流、C1滤波后供给的。QMN10气敏半导体传感器在洁净空气中的阻值大约有几十k,接触到有害气体时,电导率增大,电阻值急剧下降,下降幅度与瓦斯浓度

35、在0.5%以下成正比。由与非门IC1A、IC1B构成一个门控电路,IC1C、IC1D组成一个多谐振荡器。当QMN10气敏传感器未敏感到有害气体时,由于电导率极小,IC1A脚处于低电位,IC1A的脚处于高电位,故IC1A的脚为高电位,经IC1B反相后其脚为低电位,多谐振荡器不起振,三极管VT2处于截止状态,故报警电路不发声。,113,一旦QMN10敏感到有害气体时,其电导率增大,阻值急剧下降,在电阻R2、R3上的压降使IC1A的脚处于高电位,此时IC1A的脚变为低电平,经IC1B反相后变为高电平,多谐振荡器起振工作,三极管VT2周期地导通与截止,于是由VT1、T2、C4、HTD等构成的正反馈振荡

36、器间歇工作,发出报警声。与此同时,发光二极管LED1闪烁。从而达到有害气体泄漏告警的目的。,114,湿敏电阻湿敏电阻对环境湿度敏感,它吸收环境中的水分,直接把湿度变成电阻值的变化。压敏电阻 压敏电阻用作电路的过压保护。将压敏电阻和电路并联,其两端电压正常时电阻值很大,不起作用。一旦超过保护电压,它的电阻值迅速变小,使电流尽量从自己身上流过(很有牺牲精神!),从而保护了电路。正规的电话机中少不了压敏电阻,其实你的MODEM中也有这东西。,115,霍尔器件 霍尔器件几乎是每台计算机中都用的器件,另外在各种精密的工业设备中也有它的身影。它主要用来检测磁力,而且基本上都是以“集成霍尔传感器”的形式出现

37、。用高灵敏的霍尔器件还可以制作电子罗盘呢。,116,干簧管(干簧继电器)初听这个名字很怪,(习惯了就好),干簧管是一种磁敏的特殊开关。它的两个触点由特殊材料制成,被封装在真空 的玻璃管里。只要用磁铁接近它,干簧管两个节点就会吸合在一起,使电路导通。因此可以作为传感器用,用于计数,限位等等。有一种自行车公里计,就是在轮胎上粘上磁铁,在一旁固定上干簧管构成的。装在门上,可作为开门时的报警、问候等。在“断线报警器”的制作中,也会用到干簧管。!,117,热释电红外探测器:利用人体发出的红外线进行检测,产生电信号输出,常用于防盗报警等电路中。,118,红外防盗报警电路,119,如何提高电子设计能力?,1

38、、多分析各种应用电路图和其工作原理说明。2、多进行实际动手制作。3、充分利用图书、期刊、网络等各种渠 道了解新器件、新技术等。,120,常用电子资料下载网址,http:/http:/jap.hu/electronic/http:/,121,专题方向介绍,一、测控方向 各种自动测量、控制电路的设计二、RF射频电路方向 无线电接收、发送,遥控、遥测电路设计。三、仪器与模拟电路方向 电子仪器、模拟电路综合应用设计。四、数字电路应用方向 现代数字逻辑电路设计与EDA应用设计,122,本课程要求,一、遵守学习纪律、严格作息时间 正式行课期间学员必须进入指定实验场地学习;非正式行课期间学员应进入开放实验室和机房进行实作和查询资料。所有学员必须服从指导教师和值班人员安排。二、注意操作安全 注意用电安全;注意PCB制版腐蚀液安全,妥善处理废液;爱护实验设备,如非正当使用造成损坏者需照价赔偿。三、不得利用实验室从事与本课程无关的活动 严禁打游戏、聊天、带食品进入实验场地,注意清洁卫生、禁止乱丢垃圾。违者取消课程成绩,且立即离开实验室。,

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号