毕设中期答辩模板.ppt

上传人:牧羊曲112 文档编号:6475773 上传时间:2023-11-03 格式:PPT 页数:17 大小:544.50KB
返回 下载 相关 举报
毕设中期答辩模板.ppt_第1页
第1页 / 共17页
毕设中期答辩模板.ppt_第2页
第2页 / 共17页
毕设中期答辩模板.ppt_第3页
第3页 / 共17页
毕设中期答辩模板.ppt_第4页
第4页 / 共17页
毕设中期答辩模板.ppt_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《毕设中期答辩模板.ppt》由会员分享,可在线阅读,更多相关《毕设中期答辩模板.ppt(17页珍藏版)》请在三一办公上搜索。

1、燕山大学本科毕业设计,中期报告,基于FPGA的电梯控制系统设计,学 院(系):里仁学院电子工程系专 业 班 级:电子科学与技术08-1班学 号:081308061023姓 名:王爽指 导 老 师:常丹华老师 2012年05月14日,论文研究工作经过选题、开题到现在已经完成了以下面的工作(1)通过查阅大量资料对选题有了更加深入理解和 更加清晰的思路(2)对软件MAXPLUS2的熟悉操作(3)用VHDL语言对电梯系统主控完成编译(4)完成了对电梯系统主控的仿真,选题,研究进展,控制器的设计方案 控制器的功能模块,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所

2、要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。,选题,当前研究的成果,选题,当前研究的成果,四层电梯控制器的实体设计 首先考虑输入端口,一个异步复位端口reset,用于在系统不正常时回到初始状态;在电梯外部,必须有升降请求端口,一层是最低层,不需要有下降请求,四层是最高层,不需要有上升请求,二、三层则上升、下降请求端口都有;在电梯的内部,应该设有各层停留的请求端口:一个电梯时钟输入

3、端口,该输入时钟以1秒为周期,用于驱动电梯的升降及开门关门等动作;另有一个按键时钟输入端口,时钟频率比电梯时钟高。,选题,当前研究的成果,其次是输出端口,有升降请求信号以后,就得有一个输出端口来指示请求是否被响应,有请求信号以后,该输出端口输出逻辑l。被响应以后则恢复逻辑O;同样,在电梯内部也应该有这样的输出端口来显示各层停留是否被响应;在电梯外部,需要一个端口来指示电梯现在所处的位置;电梯开门关门的状态也能用一个输出端口来指示;为了观察电梯的运行是否正确,可以设置一个输出端口来指示电梯的升降状态。,选题,当前研究的成果,四层电梯控制器的结构体设计 首先说明一下状态。状态机设置了lO个状态,分

4、别是电梯停留在l层(stoponl)、开门(dooropen)、关门(doorclose)、开门等待第1秒(waitl)、开门等待第2秒(wait2)、开门等待第3秒(wait3)、开门等待第4秒(wait4)、上升(up)、下降(down)和停止(stop)。在实体说明定义完端口之后,在结构体architecture和begin之间需要有如下的定义语句,来定义状态机。,选题,当前研究的成果,type state_typeis(stopon1,dooropen,doorclose,wait1,wait2,wait3,wait4,up,down,stop);signal state:state_

5、type:=stopon1;signal clearup,cleardn,buttclk,fliclk:std_logic;signal q:std_logic_vector(4 downto 0);,选题,当前研究的成果,四层电梯控制器VHDL设计四层电梯控制器的VHDL描述模块流程图,选题,当前研究的成果,本程序设计调用了IEEE库,IEEE库是VHDL设计中最为常用的库,它包含有IEEE标准的程序包和其他一些支持工业标准的程序包。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.s

6、td_logic_unsigned.all;(2)以关键词ENTITY引导,END ENTITY flift 结尾的语句部分,成为实体。VHDL的实体描述了电路器件的外部情况及各信号端口的基本性质。本设计定义了关于三层电梯控制器用到的各类时钟、异步复位按键、信号灯指示、电梯的请求。端口模式主要就是IN、BUFFER、OUT端口。及定义了各端口信号的数据类型,主要是STD_LOGIC(标准逻辑位数据类型)、INTEGER(整数类型)、STD_LOGIC_VECTOR(标准逻辑矢量数据类型)。这些都满足上面调用的IEEE库中的程序包。,选题,当前研究的成果,entity flift isport(

7、clk,reset,up1,up2,up3,down2,down3,down4,stop1,stop2,stop3,stop4:in std_logic;uplight,downlight,stoplight:buffer std_logic_vector(4 downto 1);udsig:buffer std_logic;position:buffer integer range 1 to 4;doorlight:out std_logic);end flift;(3)以关键词ARCHITECTURE引导,END ARCHITECTURE behav结尾的语句部分,称为结构体。结构体负责描述电路器件的内部逻辑功能或电路结构。本设计定义了lO个状态。描述了在三层电梯中出现的各种可能的情况作为控制电梯的主要进程。,选题,当前研究的成果,选题,当前研究的成果,电梯主控制器模块,选题,当前研究的成果,主控制器波形图,首先对主控功能进行完善,然后对分控制器及其他部分的功能进行编译、调试,完成选题的所有功能。,选题,下一步任务,谢谢各位老师 请提出宝贵意见!,Thank You!,谢 谢!,放映结束 感谢各位观看!,让我们共同进步,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号