EDA第6章EDA应用工具深入(宏功能模块).ppt

上传人:牧羊曲112 文档编号:6504918 上传时间:2023-11-07 格式:PPT 页数:31 大小:405KB
返回 下载 相关 举报
EDA第6章EDA应用工具深入(宏功能模块).ppt_第1页
第1页 / 共31页
EDA第6章EDA应用工具深入(宏功能模块).ppt_第2页
第2页 / 共31页
EDA第6章EDA应用工具深入(宏功能模块).ppt_第3页
第3页 / 共31页
EDA第6章EDA应用工具深入(宏功能模块).ppt_第4页
第4页 / 共31页
EDA第6章EDA应用工具深入(宏功能模块).ppt_第5页
第5页 / 共31页
点击查看更多>>
资源描述

《EDA第6章EDA应用工具深入(宏功能模块).ppt》由会员分享,可在线阅读,更多相关《EDA第6章EDA应用工具深入(宏功能模块).ppt(31页珍藏版)》请在三一办公上搜索。

1、第6章,EDA工具应用深入,计数器模块乘法器模块 锁相环模块 存储器模块 其他模块,内容,第6章 EDA工具应用深入,LPM(参数可设置模块库),Megafunction库是Altera提供的参数化模块库。从功能上看,可以把Megafunction库中的元器件分为:算术运算模块(arithmetic)逻辑门模块(gates)储存模块(storage)IO模块(I/O),LPM概述,7.1 宏功能模块概述,知识产权核的应用,AMPP程序,MegaCore函数,OpenCore评估功能,OpenCore Plus硬件评估功能,7.1 宏功能模块概述,7.1.2 使用MegaWizard Plug-

2、In Manager,.bsf:Block Editor中使用的宏功能模块的符号(元件)。.cmp:组件申明文件。.inc:宏功能模块包装文件中模块的AHDL包含文件。.tdf:要在AHDL设计中实例化的宏功能模块包装文件。.vhd:要在VHDL设计中实例化的宏功能模块包装文件。.v:要在VerilogHDL设计中实例化的宏功能模块包装文件。_bb.v:VerilogHDL设计所用宏功能模块包装文件中模块的空体或 black-box申明,用于在使用EDA 综合工具时指定端口方向。_inst.tdf:宏功能模块包装文件中子设计的AHDL例化示例。_inst.vhd:宏功能模块包装文件中实体的VH

3、DL例化示例。_inst.v:宏功能模块包装文件中模块的VerilogHDL例化示例。,7.1 宏功能模块概述,7.1.3 在QuartusII中对宏功能模块进行例化,1、在VerilogHDL和VHDL中例化,2、使用端口和参数定义,3、使用端口和参数定义生成宏功能模块,计数器 乘-累加器和乘-加法器加法/减法器 RAM乘法器 移位寄存器,算数运算模块库,参数化乘法器lpm_mult宏功能模块的基本参数表,lpm_mult,(1)调用lpm_mult,(2)lpm_mult参数设置,输入输出位宽设置,乘法器类型设置,(3)编译仿真,8位有符号乘法器电路,功能仿真波形,4.3 计数器模块,计数

4、器输出端口宽度和计数方向设置,计数器模和控制端口设置,更多控制端口设置,模24方向可控计数器电路,lpm_counter计数器功能仿真波形,参数化锁相环宏模块altpll以输入时钟信号作为参考信号实现锁相,从而输出若干个同步倍频或者分频的片内时钟信号。与直接来自片外的时钟相比,片内时钟可以减少时钟延迟,减小片外干扰,还可改善时钟的建立时间和保持时间,是系统稳定工作的保证。不同系列的芯片对锁相环的支持程度不同,但是基本的参数设置大致相同,下面便举例说明altpll的应用。,4.5 锁相环模块,(1)输入altpll宏功能模块,选择芯片和设置参考时钟,锁相环控制信号设置,输入时钟设置,(2)编译和

5、仿真,锁相环电路,功能仿真波形,ROM(Read Only Memory,只读存储器)是存储器的一种,利用FPGA可以实现ROM的功能,但其不是真正意义上的ROM,因为FPGA器件在掉电后,其内部的所有信息都会丢失,再次工作时需要重新配置。Quartus II提供的参数化ROM是lpm_rom,下面用一个乘法器的例子来说明它的使用方法,这个例子使用lpm_rom构成一个4位4位的无符号数乘法器,利用查表方法完成乘法功能。,4.6 存储器模块,数据线、地址线宽度设置,控制端口设置,添加.mif文件,如下图所示就是基于ROM实现的4位4位的无符号数乘法器电路图,其参数设置为:LPM_WIDTH=8

6、LPM_WIDTHAD=8LPM_FILE=mult_rom.mif,仿真结果,4.7 其他模块,Maxplus2库主要由74系列数字集成电路组成,包括时序电路宏模块和运算电路宏模块两大类,其中时序电路宏模块包括触发器、锁存器、计数器、分频器、多路复用器和移位寄存器,运算电路宏模块包括逻辑预算模块、加法器、减法器、乘法器、绝对值运算器、数值比较器、编译码器和奇偶校验器。对于这些小规模的集成电路,在数字电路课程中有详细的介绍。他们的调入方法和Megafunction库中的宏模块是一样的,只是端口和参数无法设置。,计数器74161设计举例,模10计数器,仿真结果,模10计数器仿真波形,4.1 采用Quartus II软件的宏功能模块lpm_counter设计一个模为60的加法计数器,进行编译和仿真,查看仿真结果。4.2 采用Quartus II软件的宏功能模块lpm_rom,用查表的方式设计一个实现两个8位无符号数加法的电路,并进行编译和仿真。4.3 先利用LPM_ROM设计4位4位和8位8位乘法器各一个,然后用Verilog语言分别设计4位4位和8位8位乘法器,比较两类乘法器的运行速度和资源耗用情况。4.4 用数字锁相环实现分频,假定输入时钟频率为10MHz,想要得到6MHz的时钟信号,试用altpll宏功能模块实现该电路。,习 题,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号