基于FPGA的电子抢答器的程序设计毕业设计.docx

上传人:李司机 文档编号:6621266 上传时间:2023-11-19 格式:DOCX 页数:20 大小:131.26KB
返回 下载 相关 举报
基于FPGA的电子抢答器的程序设计毕业设计.docx_第1页
第1页 / 共20页
基于FPGA的电子抢答器的程序设计毕业设计.docx_第2页
第2页 / 共20页
基于FPGA的电子抢答器的程序设计毕业设计.docx_第3页
第3页 / 共20页
基于FPGA的电子抢答器的程序设计毕业设计.docx_第4页
第4页 / 共20页
基于FPGA的电子抢答器的程序设计毕业设计.docx_第5页
第5页 / 共20页
点击查看更多>>
资源描述

《基于FPGA的电子抢答器的程序设计毕业设计.docx》由会员分享,可在线阅读,更多相关《基于FPGA的电子抢答器的程序设计毕业设计.docx(20页珍藏版)》请在三一办公上搜索。

1、基于FPGA的电子抢答器的程序设计摘要随着科学技术日新月异,文化生活日渐丰硕,在各类竞赛、抢答场合电子抢答器已经作为一种工具取得了较为普遍的应用。顾名思义,电子抢答器是一种通过抢答者的指示灯显示、数码显示和警示显示等手腕准确、公正、直观地判定出最先取得发言权选手的设备。这次设计有4组抢答输入,每组设置一个抢答按钮供抢答者利用。电路具有第一抢答信号的辨别和锁存功能。当第一抢答者按下抢答开关时,该组指示灯亮以示抢答成功。同时,电路也具有自锁功能,保证能够实此刻一路成功抢答有效后,其他三路均不能抢答。本设计基于VHDL语言,采纳FPGA为操纵核心,并结合动手实践完成,具有电路简单、操作方便、灵敏靠得

2、住等优势。该四路抢答器利用VHDL硬件描述语言进行编程,分为七个模块:判定模块,锁存模块,转换模块,扫描模块,片选模块,按时报警模块和译码模块。编程完成后,利用QuartersII工具软件进行编译仿真验证。关键词:VHDL,FPGA,四路抢答器,仿真目录1概述1本论文要紧完成的工作111Lj/于.22开发工具简介3VHDLI口口ISJ713FPGA开发进程与应用4FPGA进展历程及现状4FPGA工作原理4PPGA)5QuartusII软件63系统设计88方8系统硬件设计方案8系统软件设计方案8系统原理详述.104电路程序设计及仿真12抢答锁存模块设计12VHDL源程序12抢答锁存电路的模块13

3、l*14总结15致谢17郑州轻工业学院课程设计任务书题目基于FPGA的电子抢答器的程序设计专业班级电子信息工程10-1班学号姓名要紧内容、大体要求、要紧参考资料等:要紧内容:抢答器是在竞赛、文体娱乐活动(抢答活动)中,能准确、公正、直观地判定出抢答者的机械。要求学生利用硬件描述语言(Verilog或VHDD设计基于FPGA的电子抢答器的源程序。实现如下功能:设计一个四路抢答器;在一路成功抢答有效后,其他三路均不能抢答,而且将抢答成功的一路用指示灯显示出来。大体要求:1、学会quartusll的利用,把握FPGA的程序设计方式。二、把握硬件描述语言语法。4、程序设计完成后要求在quartusll

4、中实现功能仿真。要紧参考资料:一、.M.2021,4二、陈怀琛.MATLAB及在电子信息课程中的应用M.北京:电子工业出版社.2020,1完成期限:指导教师签名:课程负责人签名:2021年6月18日1概述设计背景现场可编程门阵列(简称FPGA)是20世纪80年代中期显现的高密度可编程逻辑器件,采纳SRAM开关元件的FPGA是易失性的,每次从头加电,FPGA都要从头装入配置数据。突出优势是可反复编程,系统上电时,给FPGA加载不同的配置数据,即可令其完成不同的硬件功能。这种配置的改变乃至能够在系统的运行中进行,实现系统功能的动态重构。”在系统可编程”(简称ISP)是指对器件、电路或整个电子系统的

5、逻辑功能可随时进行修改或重构的能力,支持ISP技术的可编程逻辑器件称为在系统可编程逻辑器件,它不需要专门的编程器,利用运算机接口和一根下载电缆就能够够对器件编程了。本设计针对电子技术综合实验的要求,利用EDA技术中quartusll作为开发工具,设计了一款基于FPGA的智力竞赛抢答器。抢答器现状在进行智力竞赛抢答题竞赛时,各参赛者考虑后都想抢先答题。若是没有适合的设备,有时难以分清他们的前后,使主持人感到为难。为了使竞赛能顺利进行,需要有一个能判定抢答前后的设备,咱们将它称为智力竞赛抢答器。在许多抢答竞赛、文体娱乐活动,为了准确、公正、直观地判定出第一抢答者,通常需要设置一台如此的抢答器,通过

6、指示灯显示出第一抢答者。本论文要紧完成的工作本课程设计基于VHDL语言,采纳FPGA为操纵核心,并结合动手实践完成,具有电路简单、操作方便、灵敏靠得住等优势。设计四路抢答器利用VHDL硬件描述语言进行编程,分为七个模块:判定模块,锁存模块,转换模块,扫描模块,片选模块,按时报警模块和译码模块。编程完成后,利用QllarterSn工具软件进行编译仿真验证。系统达到要求:在一路成功抢答有效后,其他三路均不能抢答,而且将抢答成功的一路用指示灯显示出来。设计心得通过这次课程设计,帮忙咱们加深明白得FPGA程序设计方式,学会quartusll软件的利用,了解简单多功能抢答器组成原理,把握在quartus

7、ll中实现功能仿真的方式,相应地提高动手能力和排障能力,而且良好地巩固已学的理论知识,将硬件描述语言语法与实践相结合。通过度析多功能抢答器各单元电路之间的关系及彼此阻碍,从而能正确设计、计算按时计数的各个单元电路。2开发工具简介VHDL语言简介VHDL的英文全名是Very-High-SpeedIntegratedCircuitHardwareDescriptionLangUage,诞生于1982年。1987年末,VHDL被IEEE和美国国防部确以为标准硬件描述语言。自IEEE发布了VHDL的标准版本,IEEE-1076以后,各EDA公司接踵推出了自己的VHDL设计环境,或宣布自己的设计工具能够

8、和VHDL接口。尔后VHDL在电子设计领域取得了普遍的同意,并慢慢取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,发布了新版本的VHDL,即IEEE标准的1076/993版本。此刻,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又取得众多EDA公司的支持,在电子工程领域,己成为事实上的通用硬件描述语言。有专家以为,在新的世纪中,VHDL于Verilog语言将承担起大部份的数字系统设计任务。VHDL要紧用于描述数字系统的结构,行为,功能和接口。除含有许多具有硬件特点的语句外,VHDL的语言形式和描述风格

9、与句法是十分类似于一样的运算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(能够是一个元件,一个电路模块或一个系统)分成外部(或称可是部份,及端口)和内部(或称不可视部份),既涉及实体的内部功能和算法完成部份。在对一个设计实体概念了外部界面后,一旦其内部开发完成后,其他的设计就能够够直接挪用那个实体。这种将设计实体分成内外部份的概念是VHDL系统设计的大体点VHDL语言目前在数字设计领域已为广大设计者所同意,众多CAD厂商纷纷使自己新开发的电子设计软件与VHDL兼容,VHDL语言成了电子设计工程师必需把握的工具。在目前,CPU和可编程逻辑器件已经成为数字系统的硬件基础,从事数

10、字系统的设计必需把握可编程逻辑器件的设计方式,而VHDL语言作为可编程逻辑器件设计时最重要的输入方式,为所有可编程逻辑器件厂商所支持。应用VHDL进行工程设计的优势是多方面的。一、与其他的硬件描述语言相较,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最正确的硬件描述语言。壮大的行为描述能力是躲开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。二、VHDL丰硕的仿真语句和库函数,使得在任何大系统的设计初期就能够查验设计系统的功能可行性,随时可对设计进行仿真模拟。三、VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场

11、需求的大规模系统高效,高速的完成必需有多人乃最多个代发组一起并行工作才能实现。四、关于用VHDL完成的一个确信的设计,能够利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。五、VHDL对设计的描述具有相对独立性,设计者能够不懂硬件的结构,也没必要治理最终设计实现的目标器件是什么,而进行独立的设计。FPGA开发进程与应用随着现场可编程逻辑器件愈来愈高的集成度,加上不断显现的I/O标准、嵌入功能、高级时钟治理的支持,使得现场可编程逻辑器愈来愈普遍。FPGA进展历程及现状从Xilinx公司推出了世界上第一片FPGA(现场可编程逻辑芯片),FPGA已经历几十年的进展。从最初的

12、一千多可利用门,进展到90年代的几十万个可利用门,到十一世纪又陆续推出了几万万门的单片FPGA芯片。FPGA利用灵活,适用性强,专门适用于复杂逻辑的设计,有利用电子系统小型化,而且其开发周期短、开发投入少、芯片价钱不断降低,促使FPGA愈来愈多地取代了ASlC的市场。FPGA工作原理FPGA采纳了逻辑单元阵列LCA(LogicCellArray)如此一个概念,内部包括可配置逻辑模块CLB(ConfigurableLogicBlock)输出输入模块IOB(InputOutputBlock)和内部连线(InterComleCt)三个部份。FPGA的大体特点要紧有:1)采纳FPGA设计ASIC电路,

13、用户不需要投片生产,就能够取得合用的芯片。2) FPGA可做其它全定制或半定制ASIC电路的中试样片。3) FPGA内部有丰硕的触发器和I/O引脚。4) FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。5) FPGA采纳高速CHMe)S工艺,功耗低,能够与CMoS、TTL电平兼容。能够说,FPGA芯片是小批量系统提高系统集成度、靠得住性的最正确选择之一。FPGA是由寄存在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户能够依照不同的配置模式,采纳不同的编程方式。加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FP

14、GA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复利用。FPGA的编程不必专用的FPGA编程器,只须用通用的EPROM、PRe)M编程器即可。当需要修改FPGA功能时,只需换一片EPRC)M即可。如此,同一片FPGA,不同的编程数据,能够产生不同的电路功能。因此,FPGA的利用超级灵活。FPGA开发流程FPGA开发流程能够分为如下几步:设计输入,设计输入要紧包括原理图输入、状态图输入、波形图输入和某种硬件描述语言,比如说是VHDL、Verilog的源程序。它是利用这些输入去描述一个电路的功能。功能仿真,功能仿真确实是利用相关仿真工具对相关电路进行功能级别仿真

15、,也确实是说对你的输入设计的逻辑功能进行相关的模拟测试。在功能上面来了解电路是不是能够达到预期要求。那个地址的功能仿真纯粹是模拟性质的,可不能设计的任何具体器件的硬件特性。综合,综合确实是行为或功能层次表达的电子系统转换成低层次门级电路的网表。布局布线,确实是将综合后的网表文件针对某一个具体的目标器件进行逻辑映射。现在应该利用FPGA厂商提供的实现与布局布线工具,依照所选芯片的型号,进行芯片内部功能单元的实际连接与映射。时序验证,确实是要使得时序仿真进程中,成立与维持时刻要符合相关的制约,以便数据能被正确的传输。使仿真既包括门延时,又包括线延时信息。能较好地反映芯片的实际工作情形。生成SOF等

16、文件,此文件能够通过调试器把它下载到系统中间去。而FPGA设计流程的其他步骤大体上由相关工具去完成,因此只要自己设置好相关参数,不要人为干与太多。而验证的话就需要用户花费大量的时刻去完成。QuartusIl软件QuartusII是Altera提供的FPGA/CPLD开发集成环境,Altera是世界最大可编程逻辑器件供给商之一。QuartusII在21世纪初推出,是Altera前一代FPGA/CPLD集成开发环境MAX+plusII的更新换代产品,其界面友好,利用便利。在QUarUISH上能够完成设计输入、HDL综合、布线布局(适配)、仿真和下载和硬件测试等流程,它提供了一种与结构无关的设计环境

17、,使设计者能方便地进行设计输入、快速处置和器件编程。AItera的QUarUlSIl提供了完整的多平台设计环境,能知足各类特定设计的需要,也是单芯片可编程系统(SOPC)设计的综合性环境和SOPC开发的大体设计工具,并为AlteraDSP开发包进行系统模型设计提供了集成综合环境。QUartUSIl设计工具完全支持VHDL、VerylOg的设计流程,其内部嵌有VHDL、Verilog逻辑综合器。QuartusIl也能够利用第三方的综合工具,如LeonardoSpectrumSynplifyProFPGAComplierII,并能直接挪用这些工具。一样,QuartusII具有仿真功能,同时也支持第

18、三方的仿真工具,如MOdeISim。另外,QuartusII与MATLAB和DSPBuilder结合,能够进行基于FPGA的DSP系统开发,是DSP硬件系统实现的关键EDA工具。QuartusII包括模块化的编译器。编译器包括的功能模块有分析/综合器(Analysis&Synthesis)、适配器(Filter)、装配器(Assembler)、时序分析器(TimingAnalyZer)、设计辅助模块(DeSignASSiStant)、EDA网表文件生成器(EDANetlistWriter)和编辑数据接口(COmPIierDatabaseInterface)等。能够通过选择StartCompli

19、cation来运行所有的编译器模块,也能够通过选择Start单独运行各个模块。还能够通过选择ComPIierTOOI(TOolS菜单),在ComPlierTOoI窗口中运行该模块来启动编辑器模块。在ComPlierTOol窗口中,能够打开该模块的设置文件或报告文件,或打开其他相关窗口。Quartus11编译设计的主控界面显示了QuartusII自动设计的各要紧处置环节和设计流程,包括设计输入编辑、设计分析与综合、适配、编程文件汇编(装配)、时序参数提取和编程下载几个步骤。图1QUartUSII设计流程3系统设计系统设计要求抢答器是在竞赛、文体娱乐活动(抢答活动)中,能准确、公正、直观地判定出抢

20、答者的机械。本设计利用硬件描述语言VHDL设计基于FPGA的电子抢答器的源程序。要求实现如下功能:设计一个四路抢答器;在一路成功抢答有效后,其他三路均不能抢答,而且将抢答成功的一路用指示灯显示出来。系统设计方案系统硬件设计方案本设计分为硬件设计和软件设计,这二者彼此结合,不可分离;从时刻上看,硬件设计的绝大部份工作量是在最初时期,到后期往往还要做一些修改。只要技术预备充分,硬件设计的大返工是比较少的,软件设计的任务坚持到底,到中后期大体上都是软件设计任务。图2硬件设计流程系统软件设计方案软件设计和硬件电路设计应结合进行,哪些功能由硬件完成,哪些任务由软件完成,在硬件电路设计大体定型后,也就大体

21、上决定下来了。软件任务分析环节是为软件设计做一个整体计划。从软件的功能来看可分为两大类:一类是执行软件,它能完成各类实质性的功能,如测量,计算,显示,输出操纵和通信等,另一类是监控软件,它是专门用来和谐各执行模块和操作者的关系,在系统软件中充当组织调度角色的软件。这两类软件的设计方式各有特色,执行软件的设计偏重算法效率,与硬件关系紧密,千变万化。软件任务分析时,应将各执行模块一一列出,并为每一个执行模块进行功能概念和接口概念(输入输出概念)。在各执行模块进行概念时,将要牵涉到的数据结构和数据类型问题也一并计划好。各执行模块计划好后,就能够够监控程序了。第一依照系统功能和键盘设置选择一种最适合的

22、监控程序结构。相对来讲,执行模块任务明确单纯,比较容易编程,而监控程序较易出问题。这犹如当一名操作工人比较容易,而当一个厂长就比较难了。判断并锁存第一抢答者,指示灯亮。无人抢答则按下复位键重新开始。图3软件设计流程3.3.3系统原理详述图4具有完整抢答器功能的结构图依照对系统设计要求的分析可知,系统的输入信号有:各组的抢答按钮SO,SI,S2,S3输入,复位信号CLEAR用于操纵整个系统清0,按下该复位键系统清0,抬起该复位键抢答开始。在主持人将系统复位并使抢答有效开始后,so,SI,S2,S3任何第一抢答者按下抢答按钮,对应的输入引脚接高电位1,电路经历下第一抢答者身份,并封锁其他各组的按钮

23、,即其他任何一组按键都可不能使电路响应,完成抢答进程。系统的输出信号有:四组抢答成功与否的指示灯操纵信号输出口LEDA、LESBLEDCLEDD,当任何第一抢答者成功抢答后指示灯亮起。本系统应具有的功能有:第一抢答信号的辨别和锁存功能,指示灯显示第一抢答者功能。依照分析咱们能够把该四路抢答器设计分为判定模块,锁存模块,扫描模块等部份,依据各模块功能编写相应的源程序,并对相应的程序进行编译和时序仿真。假设正确就进行到下一个模块的编写,假设错误就修改直至编译成功。系统的具体工作原理如下:抢答锁存模块要紧实现抢答进程中的抢答功能,而且能实现当有一路抢答按键按下时,该路抢答信号将其余抢答信号封锁的功能

24、。在那个模块输入端有WARN输入(以时刻操纵系统的WARN输出信号为信号源)、一个和时刻操纵系统公用的CLEAR端、4人抢答输入信号端SO,Sl,S2,S3和一个时钟信号端CLK,那个时钟信号是个高频信号,用以扫描SO,SI,4电路程序设计及仿真抢答锁存模块设计该模块要紧实现抢答进程中的抢答功能。在系统复位并使抢答有效开始后,当SO,SI,S2,S3任意一路第一抢答者按下抢答按钮,对应的输入引脚接高电位1,电路经历下第一抢答者身份,信号输入并进行锁存,其他任何一组按键都可不能使电路响应,完成抢答进程。VHDL源程序1.IBRARYIEEE;USELOCKISPORT(CLK,CLEAR:INS

25、TD_LOGIC;WARNiINSTD_LOGIC;SO,S1,S2,S3:INSTD_LOGIC;STATES:OUTSTD_LOGIC_VECTOR(3DOWNTO0);STOP:OUTSTD_LOGIC;1.ED:OUTSTD_L0GIC_VECT0R(3DOWNTO0);ENDLOCK;ARCHITECTUREONEOFLOCKISSIGNALG:STD_L0GIC_VECT0R(3DOWNTO0);BEGINPRe)CESS(CLEAR,CLK,SO,S1,S2,S3)BEGINIFCLEAR=THENG=0000LED=h0000STOP=,0;ELSIFCLK,EVENTANDC

26、LK=THENIFWARN=1OTHENIF(S3=)ANDNOT(G(0)=ORG(I)=TORG(2)=,)THENG(3)=T;LED(3)=T;ELSIF(S2=)ANDNOT(G(0)=ORG(1)=,ORG(3)=,)THENG(2)=,iLED(2)=;ELSIF(S1=)ANDNOT(G(0)=1,ORG(2)=0RG(3)=)THENG(l)=iLED(2)=,;ELSIF(So=T)ANDNOT(G(1)=ORG(2)=,0RG(3)=,)THENG(0)=LED(3)=,;ENDIF;STOPSTATESSTATESSTATESSTATESSTATES=OOOO;ENDC

27、ASE;ENDPROCESS;ENDARCHITECTUREONE;抢答锁存电路的模块在那个模块中要紧实现抢答进程中的抢答功能,而且能实现当有一路抢答按键按下时,该路抢答信号将其余个绿抢答封锁的功能。在那个模块输入端有WARN输入(以时刻操纵系统的WARN输出信号为信号源)、一个和时刻操纵系统公用的CLEAR端、4人抢答输入信号端SO,SI,S2,S3和有一个时钟信号端CLK,那个时钟信号是个高频信号,用以扫描SO,SI,S2,S3是不是有信号输入。输出端有对应于SO,SI,S2,S3编号的4个指示灯LED和4线2进制输出端STATES(用于锁存当前的状态),还有一个STOP端用于指示SO,S

28、I,S2,S3按钮状态。1.OCK图5抢答锁存电路的模块仿真图6仿真图由图中可看出在复位信号CLEAR从高电平降到低电平后,抢答器开始正常工作,现在抢答开始。在此之前S0抢答无效。而S2作为第一抢答者最先抢答,这时开始报警,数码管输出显示1,说明A最先抢答。一周的FPGA课程设计专门快就过去了,其中真是有苦更有甜,苦的是我在第一次独自设计一个应用型设备时的不熟悉和惊惶失措,甜的是通过一周的时刻我通过回忆讲义知识、询问同窗教师和上网学习收成到了很多专业方面的知识加倍锻炼了我的动手能力和专业技术。记得大二下学期第一次上EDA程序设计课的时候,我对硬件设计语言是那么的难以上手,通过杜教师一学期细致的

29、讲解,配合实验课程让我对EDA相关知识、VHDL编程、quartusll软件、MAX_PLUSH软件有了更深的明白得和更熟练的把握。本次我的课程设计为四路竞赛抢答器,依照电路的特点,我采纳层次化结构化设计,将此项设计任务分成部份模块,别离对各个模块进行编程,然后再将各模块合起来编译,这一步一步的加深了咱们关于层次化设计的明白得和对VHDL设计流程的熟悉。在设计的进程中,碰到问题咱们尽可能独立试探,查找资料,到自己不能解决的时候就和同小组同窗研究讨论,或向指导教师请教。如此的经历不仅提高了咱们独立发觉问题、分析问题、解决问题的能力,又专门好地培育了交流合作的精神。通过这次课程设计,进一步加深了我

30、对EDA技术的了解,让我加倍明确VHDL的设计流程,并产生了加倍浓厚的爱好。专门是当每一个模块程序调试成功时,内心的知足感真的无法形容。这次经历更让我知道了理论与实际相结合是十分重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,使理论效劳于实际,才能真正为社会效劳。在设计的进程中咱们不可幸免的碰到各类问题,因为这毕竟第一次做的,不免会不尽善尽美。同时在设计的进程中发觉了自己很多不足的地方,对以前所学过的知识明白得得不够深刻,把握得不够牢固,以后必然增强基础知识的学习。在这次课程设计种我积存了很多宝贵的体会,这关于以后身世社会的我无疑是一笔庞大财富。没有谁

31、是天生就明白那个该怎么做的,都是要靠自己慢慢去探讨、学习、尝试的。我深刻明白用VHDL硬件描述语言的形式来进行数字系统的设计方便灵活,利用EDA软件进行编译优化仿真极大地减少了电路设计时刻和可能发生的错误,降低了开发本钱。这些课程知识的学习咱们电子信息工程的同窗提供了莫大的帮忙,咱们应当认真学习这些语言和算法。整体来讲,这次成心义的课程设计让咱们都收成颇丰。在学习了EDA技术一学期后咱们接着跟从杜教师学习了单片机,在那个知识融会贯通的节骨眼上开展了基于FPGA的课程设计无疑帮忙咱们更好的明白得软件设计的方式,培育了咱们独立完成一个项目设计的能力,进一步加深了对EDA技术相关课程的明白得,增强了

32、以后专业学习的爱好,为以后深切学习乃至是工作都积存了宝贵的体会,感激杜教师和耿教师给咱们提供这次设计的机遇。致谢本次课程设计是在我的导师杜教师和耿教师悉心的指导和耐心的帮忙之下才得以顺利完成的。这些专业基础知识正是我的EDA技术兼单片机任课教师杜海明教师和FPGA高级应用任课教师耿鑫教师在一节又一节富含激情而且充满了专业前沿知识的课堂中点点滴滴的教授给咱们的。两位恩师那严谨的治学态度和渊博的专业知识不时刻刻鼓舞着我不断前行,犹记得杜教师为了给咱们做实验中午无法休息,周末来到学校加班,对待同窗们像自己的小孩一样慈祥而关爱,也难忘耿教师对待学生一丝不苟,为咱们补课做实验仍然如日常教学一样认真。教师

33、们诲人不倦的作风和踏实认真地品格无不深深的阻碍着我,受益无穷,我很荣幸能成为如此优秀的教师的学生,借此课程设计终止之际特向这些勤勤恳恳的专业课教师们表达我最深最真挚的敬意,谢谢你们!同时,我还要感激我的同窗们和所有给予这次课程设计帮忙的教师们,碰到困难时咱们一路讨论如何解决,调试成功时咱们欢呼雀跃为了一点点成功兴奋不己,不积度步无以成千里,相信通过这一点一滴的进步咱们必然能够成为像列位教师一样富有专业技术为社会做出奉献的有为之士!最后,再一次真诚的感激列位教师和同窗们,也谢谢这次答辩的教师们,感激您百忙当中抽出时刻为咱们提出宝贵的意见和建议,谢谢你们!参考文献1王萍.电子设计自动化mA教程M.成都:电子科技大学出版社,20002黄继业,潘松.EDA技术有效教程M.北京:科学出版社,20063谢自美.电子线路测试(第三版)M.北京:华中科技大学出版社,20034董玉冰.Multsim9在电工电子技术中的应用M.北京:清华大学出版社,20075陈振官.数字电路及制作实例M.北京:国防工业出版社,20206褚振勇.FPGA设计及应用(第三版)M.西安电子科技大学出版社.2021,47陈怀琛.MATLAB及在电子信息课程中的应用M.北京:电子工业出版社.2020,1

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号