电子与通信技术:EDA技术必看考点四.docx

上传人:李司机 文档编号:7035037 上传时间:2024-04-21 格式:DOCX 页数:15 大小:40.78KB
返回 下载 相关 举报
电子与通信技术:EDA技术必看考点四.docx_第1页
第1页 / 共15页
电子与通信技术:EDA技术必看考点四.docx_第2页
第2页 / 共15页
电子与通信技术:EDA技术必看考点四.docx_第3页
第3页 / 共15页
电子与通信技术:EDA技术必看考点四.docx_第4页
第4页 / 共15页
电子与通信技术:EDA技术必看考点四.docx_第5页
第5页 / 共15页
点击查看更多>>
资源描述

《电子与通信技术:EDA技术必看考点四.docx》由会员分享,可在线阅读,更多相关《电子与通信技术:EDA技术必看考点四.docx(15页珍藏版)》请在三一办公上搜索。

1、电子与通信技术:EDA技术必看考点四1、单选电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化)及提高运行速度(即速度优化),下列方法O不属于面积优化。A、流水线设计B、资源共享C、逻辑优化D、串(江南博哥)行化正确答案:A2、单选在VHDL中O不能将信息带出对它定义的当前设计单元。A.信号B.常量C.数据D.变量正确答案:D3、单选下面数据中属于位矢量的是OoA. 4.2B. 3C. TD. “11011”正确答案:D4、单选O在EDA工具中,能将硬件描述语言转换为硬件电路的重要工具软件称为。A.仿真器B.综合器C.适配器D.下载器正确答案:D5、单选对于信号和变量的说法,哪一个是

2、不正确的:OcA.信号用于作为进程中局部数据存储单元B.变量的赋值是立即完成的C.信号在整个结构体内的任何地方都能适用D.变量和信号的赋值符号不一样正确答案:A粒体构置程单实结配进6.B.CD.描述项目具有逻辑功能的是()o正确答案:B7、单选在VHDL中,含WArr语句的进程PROCESS的括弧中()再加敏感信号,否则则是非法的。A可以B.不能C.必须D.有时可以正确答案:B8、单选STD_L0GIG164中定义的高阻是字符O0A. XB. XC. zD. Z正确答案:D9、单选MAX+PLUSII的设计文件不能直接保存在()。A.硬盘B.根目录C.文件夹D.工程目录正确答案:B10、单选下

3、列关于变量的说法正确的是OoA.变量是一个局部量,它只能在进程和子程序中使用B.变量的赋值不是立即发生的,它需要有一个3延时C.在进程的敏感信号表中,既可以使用信号,也可以使用变量D.变量赋值的一般表达式为:目标变量名二表达式正确答案:A11、名词解释VHDL和FPGA正确答案:超高速硬件描述语言现场可编程门阵列。12、单选VHDL常用的库是OoA. IEEEB. STDC. WORKD. PACKAGE正确答案:A13、单选符合1987VHDL标准的标识符是()。A. A_2B. A+2C. 2AD. 22正确答案:A14、选变量和信号的描述正确的是OoA.变量赋值号是:二B.信号赋值号是:

4、=C.变量赋值号是二D.二者没有区别正确答案:A15、单选在VHD、L语言中,下列对进程(PROC、ESS)语句的语句结构及语法规则的描述中,不正确的是OA、PROC、ESS为一无限循环语句敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动。B、敏感信号参数表中,不一定要列出进程中使用的所有输入信号C、进程由说明部分、结构体部分、和敏感信号三部分组成D、当前进程中声明的变量不可用于其他进程正确答案:C16、单选关于VHDL数据类型,正确的是OoA.数据类型不同不能进行运算B.数据类型相同才能进行运算C.数据类型相同或相符就可以运算D.运算与数据类型无关正确答案:D17、单选IP核在ED

5、A技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为OoA.软IPB.固IPC.硬IPD.都不是正确答案:A18、单选EDA的中文含义是OoA.电子设计自动化B.计算机辅助计算C.计算机辅助教学D.计算机辅助制造正确答案:A19、名词解释SOC正确答案:单芯片系统。20、单选变量是局部量可以写在OoA.实体中B.进程中C.线粒体D.种子体中正确答案:B21、单选IP核在EDA技术和开发中具有十分重要的地位,IP分软IP、固IP、硬IP;下列所描述的IP核中,对于固IP的正确描述为O.A.提供用VHDL等硬件描述语言描述的功能块,但

6、不涉及实现该功能块的具体电路B.提供设计的最总产品一一模型库C.以可执行文件的形式提交用户,完成了综合的功能块D.都不是正确答案:D22、填空题一般把EDA技术的发展分为()、()和()三个阶段。正确答案:MOS时代;MOS时代;ASIC23、名词解释ASIC正确答案:专用集成电路。24、单选下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的()OA、原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计B、原理图输入设计方法一般是一种自底向上的设计方法C、原理图输入设计方法无法对电路进行功能描述D、原理图输入设计方法不适合进行层次化设计正确答案:B25、单选下面哪一个

7、是VHDL中的波形编辑文件的后缀名OoA. gdfB. scfC. sysD. tdf正确答案:B26、单选下列关于CASE语句的说法不正确的是OoA.条件句中的选择值或标识符所代表的值必须在表达式的取值范围内。B. CASE语句中必须要有WHENoTHERS=NULL语句。C. CASE语句中的选择值只能出现一次,且不允许有相同的选择值的条件语句出现。D. CASE语句执行必须选中,且只能选中所列条件语句中的一条正确答案:B27、单选不属于顺序语句的是OoA. IF语句B. 1.OOP语句C. PROCESS语句D. CASE语句正确答案:B28、单选下面哪一个可以用作VHDL中的合法的实体

8、名O0A.ORB.VARIABLEC. SIGNALD. OUTl正确答案:D29、单选可以不必声明而直接引用的数据类型是OoA. STD_LOGICB. STD_LOGIC_VECTORC. BITD.前面三个答案都是错误的正确答案:C30、填空题EDA设计流程包括()、()、()和()四个步骤。正确答案:设计输入;设计实现;实际设计检验;下载编程31、单选大规模可编程器件主要有FPGA、CPLD两类,其中CPLD通过()实现其逻辑功能。A.可编程乘积项逻辑B.查找表(LUT)C.输入缓冲D.输出缓冲正确答案:A32、单选MAXPLUSIl中编译VHDL源程序时要求()。A.文件名和实体可不

9、同名B.文件名和实体名无关C.文件名和实体名要相同D.不确定正确答案:C33、单选状态机编码方式中,其中O占用触发器较多,但其简单的编码方式可减少状态译码组合逻辑资源,且易于控制非法状态。A.一位热码编码B.顺序编码C.状态位直接输出型编码D.格雷码编码正确答案:A34、单选下面数据中属于实数的是OoA. 4.2B. 3C.D. “11011”正确答案:A35、单选VHDL语言中信号定义的位置是OoA.实体中任何位置B.实体中特定位置C.结构体中任何位置D.结构体中特定位置正确答案:D36、单选MAXPLUS口中原理图的后缀是()。A. DOCB. GDFC. BMPD. JIF正确答案:B3

10、7、单选在VHD、L中用()来把特定的结构体关联一个确定的实体,为一个大型系统的设计提供管理和进行工程组织。A、输入B、输出C、综合D、配置正确答案:C38、名词解释HDL正确答案:硬件描述语言39、单选MAXPLUSIl不支持的输入方式是O0A.文本输入B.原理图输入C.波形输入D.矢量输入正确答案:D40、单选电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化)下列方法中O不属于面积优化。A.流水线设计B.资源共享C.逻辑优化D.串行化正确答案:A41、单选在VHDL中,PROCESS本身是O语句。A顺序B.顺序和并行C.并行D.任何正确答案:C4

11、2、填空题在PC上利用VHDL进行项目设计,不允许在根目录下进行,必须在O为设计建立一个工程目录。正确答案:根目录43、单选下列标识符中,O是不合法的标识符。A. StateOB. 9moonC. Not_Ack_0D. signal正确答案:B44、名词解释IP正确答案:知识产权核。45、单选在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是OOA、PROCESS为一无限循环语句B、敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动C、当前进程中声明的变量不可用于其他进程D、进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成正确答案:D

12、46、单选下列状态机的状态编码,方式有“输出速度快、难以有效控制非法状态出现”这个特点。OA、状态位直接输出型编码B、一位热码编码C、顺序编码D、格雷编码正确答案:A47、单选使用STD_LOGlGJ164使用的数据类型时OoA.可以直接调用B.必须在库和包集合中声明C.必须在实体中声明D.必须在结构体中声明正确答案:B48、单选在VHDL的FORJ)OP语句中的循环变量是一个临时变量,属于LOoP语句的局部量,()事先声明。A.必须B.不必C.其类型要D.其属性要正确答案:B49、名词解释EDA正确答案:电子设计自动化。50、填空题图形文件设计结束后一定要通过O,检查设计文件是否正确。正确答

13、案:仿真51、单选关键字ARCHrrECTURE定义的是。A.结构体B.进程C.实体D.配置正确答案:A52、单选在一个VHDL设计中Idata是一个信号,数据类型为std_lOgiC_vector,试指出下面那个赋值语句是错误的。O0A.idata=00001111”B. idata=bw0000,1111C. idata二XAB”D. idata=B21”正确答案:D53、单选在VHDL中,PROCESS结构内部是由O语句组成的。A顺序B.顺序和并行C.并行D.任何正确答案:B54、填空题VHDL的数据对象包括()、()和(),它们是用来存放各种类型数据的容器。正确答案:变量;常量;信号5

14、5、单选IP核在EDA技术和开发中具有十分重要的地位提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为OcA.软IPB.固IPC.硬IPD.全对正确答案:A56、问答题硬件描述语言的突出优点是什么?正确答案:语言与工艺的无关性语言的公开可利用性,便于实现大规模系统的设计具有很强逻辑描述和仿真功能,而且输入效率高,在不同设计输入库之间的转换非常方便,用不着对底层的电路和PLD结构的熟悉。57、单选关于1987标准的VHDL语言中,标识符描述正确的是()。A.必须以英文字母开头B.可以使用汉字开头C.可以使用数字开头D.任何字符都可以正确答案:A58、单选下面既是并

15、行语句又是串行语句的是OoA.变量赋值B.信号赋值C. PROCESS语句D. WHEn-ELSE语句正确答案:C59、单选在MAX+PLUSII集成环境下为图形文件产生一个元件符号的主要作用是OoA.综合B.编译C.仿真D.被高层次电路设计调用正确答案:D60、名词解释FPGA正确答案:现场可编程门阵列。61、问答题WHEN_ELSE条件信号赋值语句和IF_ELSE顺序语句的异同?正确答案:WHEN_ELSE条件信号赋值语句中无标强,只有最后有分号必须成对出现是并行语句,宓须放在结构体中。IF_ELSE顺序语句中有分号是顺序语句,必须放在进程中。62、单选个项目的输入输出端口是定义在OoA.

16、实体中B.结构体中C.任何位置D.进程体正确答案:A63、单选以下对于进程PROCESS的说法,正确的是:()。A.进程之间可以通过变量进行通信B.进程内部由一组并行语句来描述进程功能C.进程语句本身是并行语句D.一个进程可以同时描述多个时钟信号的同步时序逻辑正确答案:C64、单选下列关于信号的说法不正确的是OoA.信号相当于器件内部的一个数据暂存节点。B.信号的端口模式不必定义,它的数据既可以流进,也可以流出。C.在同一进程中,对一个信号多次赋值,其结果只有第一次赋值起作用。D.信号在整个结构体内的任何地方都能适用。正确答案:C65、单选IP核在EDA技术和开发中占有很重要的地位,提供VHD

17、、L硬件描述语言功能块,但不涉及实现该功能模块的具体电路的IP核为OoA、硬件IPB、固件IPC、软件IPD、都不是正确答案:C66、单选在EDA工具中,能完成在目标系统器件上布局布线软件称为OoA.仿真器B.综合器C.适配器D.下载器正确答案:C67、单选嵌套的IF语句,其综合结果可实现()0A.条件相与的逻辑B.条件相或的逻辑C.条件相异或的逻辑D.三态控制电路正确答案:D68、问答题结构体的三种描述方式。正确答案:即行为级描述、数据流级描述和结构级描述。69、名词解释JTAG正确答案:联合测试行动小组70、单选在VHDL的CASE语句中,条件句中的“二”不是操作符号,它只相当与O作用。A

18、. IFB. THENC. ANDD. OR正确答案:B71、单选在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围Otol27,下面哪个赋值语句是正确的。OoA. idata:=32B. idata=16tt0ttC. idata=16ft7ttElD. idata:=B#1010#正确答案:C72、填空题EDA设计输入主要包括()、()和()。正确答案:图形输入;HDL文本输入;状态机输入73、单选在VHDL中,一个设计实体可以拥有一个或多个OoA.设计实体B.结构体C.输入D.输出正确答案:D74、单选综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一

19、种表示转化成另一种表示的过程;在下面对综合的描述中O是错误的。A.综合就是将电路的高级语言转化成低级的,可与FPGA/CPLD的基本结构相映射的网表文件B.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束C.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的D.综合是纯软件的转换过程,与器件硬件结构无关正确答案:D75、单选如果a=l,b=0,则逻辑表达式(aANDb)OR(NOTbANDa)的值是OOA. 0B. 1C. 2D.不确定正确答案:B76、单选如果a=Lb=l,则逻辑表达式(aXORb)OR(NOTbANDa)的值

20、是O0A. 0B. 1C. 2D.不确定正确答案:A77、填空题时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能OO正确答案:仿真78、单选STD_L0GIG164中字符H定义的是OoA.弱信号1B.弱信号0C.没有这个定义D.初始值正确答案:A79、单选既补血滋阴,又益精填髓的药物是()A.熟地黄B.阿胶C.黄精D.生首乌E.枸杞子正确答案:A80、单选在VHDL中,语句FORnNOTo7L00P”定义循环次数为O次。A. 8B. 7C. 0D. 1正确答案:A81、单选大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理

21、的描述中,正确的是OOA. CPLD是基于查找表结构的可编程逻辑器件B. CPLD即是现场可编程逻辑器件的英文简称C.早期的CPLD是从FPGA的结构扩展而来D.在Xilinx公司生产的器件中,XC9500系列属CPLD结构正确答案:D82、单选在VHDL中,可以用语句()表示检测cl。Ck下降沿。A.clock,eventB.clock,eventandclock=,1,C. clock=0,D.clock,eventandclock=,0,正确答案:D83、单选VHDL运算符优先级的说法正确的是OoA.逻辑运算的优先级最高B.关系运算的优先级最高C.逻辑运算的优先级最低D.关系运算的优先级

22、最低正确答案:C84、填空题MAX+PLUS的文本文件类型是O.()。正确答案:后缀名;VHD85、单选VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库()。A、 IEEE库B、 VlTAL库C、 STD库D、WORK工作库正确答案:D86、单选下列4个VHDL标识符中正确的是:OoA、 10#128#B、 16#E#E1C、 74HC124D、 X_16正确答案:B87、单选VHDL中,为目标变量赋值符号是OoA.二:B.二C.=D.:=正确答案:D88、单选下列那个流程是正确的基于EDA软件的FPGA/CPLD设计流程:OOA.原理图/HDL文本输入一功能仿真一综合一

23、适配一编程下载一硬件测试B.原理图/HDL文本输入一适配一综合一功能仿真一编程下载一硬件测试C.原理图/HDL文本输入一功能仿真一综合一编程下载ff适配硬件测试D.原理图/HDL文本输入一功能仿真一适配一编程下载一综合一硬件测试正确答案:A89、单选在设计输入完成后,应立即对设计文件进行()。A.编辑B.编译C.功能仿真D.时序仿真正确答案:C90、单选EPFlOK20TCI44-4具有多少个管脚()。A. 144个B. 84个C. 15个D.不确定正确答案:A91、单选下列语句中,不属于并行语句的是:OoA.进程语句B.CASE语句C.元件例化语句D.WHENELSE语句正确答案:B92、单

24、选不完整的IF语句,其综合结果可实现OoA.时序逻辑电路B.组合逻辑电路C.双向电路D.三态控制电路正确答案:A93、填空题以EDA方式设计实现的电路设计文件,最终可以编程下到O和()芯片中,完成硬件设计和验证。正确答案:FPGA;CPLD94、名词解释布局布线正确答案:是根据设计者指定的约束条件(如面积、延时、时钟等)、目标器件的结构资源和工艺特性,以最优的方式对逻辑元件布局,并准确地实现元件间的互连,完成实现方案(网表)到使实际目标器件(FPGA或CPLD)的变换。95、单选1987标准的VHDL语言对大小写是OoA.敏感的B.只能用小写C.只能用大写D.不敏感正确答案:D96、单选基于V

25、HDL设计的仿真包括有门级时序仿真、行为仿真、功能仿真和前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是()OA.B.C.D.正确答案:D97、问答题用VHDL/VeilogHDL语言开发可编程逻辑电路的完整流程。正确答案:文本编辑一功能仿真一逻辑综合一布局布线一时序仿真。98、单选VHDL语言中变量定义的位置是OoA.实体中中任何位置B.实体中特定位置C.结构体中任何位置D.结构体中特定位置正确答案:D99、单选在元件例化语句中,用O符号实现名称映射,将例化元件端口声明语句中的信号与PoRTMAP()中的信号名关联起来。A.=B.:=C. 正确答案:D100、单选在VHDL中,为定义的信号赋初值,应该使用O符号。A.=:B.二C.:=D. =正确答案:D

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号