EDA课程设计ppt课件.ppt

上传人:小飞机 文档编号:1480502 上传时间:2022-11-30 格式:PPT 页数:122 大小:2.14MB
返回 下载 相关 举报
EDA课程设计ppt课件.ppt_第1页
第1页 / 共122页
EDA课程设计ppt课件.ppt_第2页
第2页 / 共122页
EDA课程设计ppt课件.ppt_第3页
第3页 / 共122页
EDA课程设计ppt课件.ppt_第4页
第4页 / 共122页
EDA课程设计ppt课件.ppt_第5页
第5页 / 共122页
点击查看更多>>
资源描述

《EDA课程设计ppt课件.ppt》由会员分享,可在线阅读,更多相关《EDA课程设计ppt课件.ppt(122页珍藏版)》请在三一办公上搜索。

1、,数字电子技术基础 EDA课程设计课件 授课教师:祝宏 华中科技大学文华学院,课设须知 一、时间共计2周,即18、19周 ; 二、地点:实验室B208、B210、B211、B212和图书馆; 三、集体授课,即18周的星期一下午; 四、在约定时间的上午8:3011:30,下午2:30 5:30为辅导答疑、上机、下载时间 和自学设计查询资料等安排; 五、负责 答疑辅导及验收的老师是祝宏(1班)、赵慧(2班); 六、一人一组,下载演示,经教师验收及提问后,课设成绩有效 ; 七、 课设报告于19周周五前必须交到教师,不要复制,否则酌情处罚,倡导自学与交流, ;,课设须知 八、成绩评定分3个部分:基本功

2、能下载演示;功能扩展及应用描述语言Verilog HDL; 课设报告( *课设报告有规范要求)。,讲座一: 课设开题及设计与仿真方法辅导讲座二: EDA开发板(装置)和下载过程,课程设计辅导讲座, 数电课程设计讲座一: 课设开题及设计与仿真方法 辅导,第一部分 课设概述 一、目的 二、要求 三、EDA技术简述 四、数字系统的实现 五、小型数字系统设计方法 六、撰写课设报告格式及要求 七、 课设注意事项,一、课程设计目的,1、课程设计是一实践教学环节,是针对数字电子技术课程的要求,结合实践对学生进行综合设计性训练,在自学和实践训练中培养学生理论联系实践和实践动手能力,独立地解决实际问题能力。,一

3、、目的:,一、课程设计目的,2、 通过课程设计是使学生熟悉和了解可编程专用数字逻辑电路的设计、开发流程,熟悉和了解现代EDA设计工具,初步掌握原理图形输入法和Verilog HDL语言的编程方法,掌握数字电子系统层次化的设计方法。,一、目的:,一、课程设计目的,3、 提高学生应用计算机技术进行数字电路和中小型数字系统的设计、仿真和辅助分析的能力; 4、重在参与(亲自实践!),体会过程(有很多细节!),积累知识和实践认识。 注:中小型数字系统的定义:多个功能底层模块链接构成的顶层模块,即硬件系统。,课程设计课题,小型数字系统:多功能数字电子钟的顶层逻辑电路(参考),二、课程设计要求,1 、综合应

4、用数字电子技术基础课程中的理论知识去独立地完成一个设计课题;,二、要求,2、鼓励学生自学和查阅有关参考资料,培养学生独立分析和解决实际问题的能力;,二、课程设计要求,3、熟悉和了解现代EDA设计、编程、编译、仿真及下载技术的全过程;,4、学会撰写课程设计报告;,5、熟悉培养严肃认真的工作作风和严谨科学态度。,三、EDA技术简述,EDA是电子设计自动化(Electron Design Automatio) 目前电子技术的发展使电子系统越来越来复杂,传统的手工和简单工具已无法满足设计需求,因而利用计算机和相应的设计软件成为当前常用的设计方法。数字系统设计的发展日新月异,数字系统设计的理念和设计方法

5、在过去的几十年时间也发生了深刻的变化,,三、EDA技术简述,三、EDA技术简述,EDA是电子设计自动化(Electron Design Automatio) 数字系统设计过程被称之为一个自顶向下的分级设计过程。设计过程的任何阶段,都可以利用仿真工具对仍处于设计过程中的系统描述进行性能评估与正确性检测。 一个电子系统设计就是从顶层到底层,边设计,边仿真,并依据仿真结果,反复调整或优化的过程。,三、EDA技术简述,三、EDA技术简述,目前EDA电子技术的发展已成为现代设计技术的核心,没有EDA技术支持,想要完成超大规模集成电路和专用功能集成电路的设计制造是不可想象。,三、EDA技术简述,三、 ED

6、A技术简述(ISP器件的开发流程),四、数字系统的实现,1、可编程逻辑器件(PLDProgrammable Logic Device)和EDA技术的出现改变了传统的设计思想,使人们可以通过设计芯片来实现各种不同的功能。将原来由电路板设计完成的工作大部分放在芯片中进行,大大减轻了原理图和印制板设计的工作量和难度,且增加了设计的自由度,提高效率。,四、数字系统的实现,四、数字系统的实现,2、 目前EDA开发软件和PLD器件也提供了强有力的支持。 用户只要对它编程就可以实现所需要的功能,而且可以反复修改、反复编程(至少一万次),保持信息时间有20年,具有无可比拟的方便性和灵活性。,四、数字系统的实现

7、,四、数字系统的实现,3、 CPLD(Complex Programmable Logic Device)/FPGA(Field Programmable Gate Array ) 还具有静态可重复编程或在线动态重构特性,使硬件的功能可象软件一样通过编程来修改,不仅使设计修改和产品升级变得十分方便,而且极大地提高了电子系统的灵活性和通用能力。(复杂PLD和现场可编程门阵列),四、数字系统的实现,四、数字系统的实现,4、 专用集成电路(ASIC)是指专门为某一应用领域或专门用户需要而设计、制造的集成电路。它可以将某些专业电路或电子系统设计在一个芯片上,构成单片集成系统,即片上系统SOC(Syst

8、em on Chip)。 ASIC作为集成电路(IC)技术与特定用户的整机或系统技术紧密结合的产物,与通用集成电路相比,在构成电子系统时具有以下几个方面的优越性:,四、数字系统的实现,四、数字系统的实现, 缩小体积、减轻重量、降低功耗; 提高可靠性,用ASIC芯片进行系统集成后外部连线减少,因而可靠性明显提高; 易于获得高性能,ASIC是针对专门应用而特别设计的;系统设计、电路设计、工艺设计之间紧密结合,这种一体化的设计有利于获得前所未有的高性能系统; 增强保密性,电子产品中的ASIC芯片对用户来说相当于一个黑匣子,难于仿造; 在大批量应用时,可显著降低系统成本。,四、数字系统的实现,五、数字

9、系统的设计方法,1、 分为原理图输入法和硬件描述语言设计两种方式。原理图输入法具有直观、形象等优点。硬件描述语言(如Verilog HDL语言)设计是一种利用文本形式描述自己的设计,然后利用EDA工具进行综合和仿真,最后转变为某种目标文件,再用CPLD和FPGA器件来具体实现。,五、数字系统的设计方法,五、数字系统的设计方法,2、 普遍应用自顶向下的设计过程(Top-down)。首先从系统的顶层(顶层工程文件)开始,根据用户的要求对系统作准确描述,即确定系统的输入和输出的关系。再将系统划分和定义为能够实现的、相对独立的子系统(底层模块)设计,然后利用EDA来具体实现。,五、数字系统的设计方法,

10、五、数字系统的设计方法,3、 Verilog HDL 语言是用于数字电路设计硬件描述语言,并已成为IEEE标准。可以很容易地把已完成的设计移植到不同厂家不同型号的型片上。 Verilog HDL 语言能形式化、抽象地表示电路的结构和行为,支持逻辑设计中层次与领域的描述,可借用高级语言的特点来简化电路的描述,并具有电路仿真与验证机制以保证设计的正确。此外,它还具有工艺无关性 。,五、数字系统的设计方法,六、撰写数字系统的设计报告,1、 封面数字电路EDA课程设计报告、专业、班级、姓名、学号、合作者、指导教师及制作日期 ; 2、 标题及任务书 ; 3、 关键词(不少于5个); 4、 内容摘要; 5

11、、 总体方案或工作原理示意框图(或流程图); 6、 顶层逻辑电路图组成、信号定义及原理简单叙述 ; 7、 低层功能模块设计,逻辑抽象(定义 input 和 output),简述逻辑电路工作原理,并要求附有*.gdf 和*.v文件及文件中语句注释;,六、撰写设计报告格式及要求(供参考),六、撰写数字系统的设计报告,8、 对应各模块功能仿真波形(数据分析)分析及结论 ; 9、选用芯片型号、定义芯片管脚号(列表格示意)及简述下载过程 ; 10、课程设计设计中遇到问题及解决方法 ; 11、课程设计设计项目完成最终结论 ; 12、课程设计项目的特点和实用性 ; 13、 心得体会或结束语 ; 14、 参阅

12、教材及文献 ; 15、 其他 。 上述共计15项栏目,六、撰写设计报告格式及要求(供参考),七、数字电路课程设计须知,1、 课设一人一组; 2、 学生必须独立完成编程、仿真及下载全过程,并演示经各班教师验收后,学生课设成绩有效; 3、 课设设计报告一人一份, 课设报告按照要求格式书写(至少含有12项),定于19周周五前前交 ,不要复制,否则酌情处理,倡导自学与交流, 要讲诚信 ; 4、 成绩评定分5部分:多功能数字电子钟基本功能实现为60分; 课设报告分为20分; 扩展电子钟功能加分;用Verilog语言描述编程文件加分;有创新项目加分;总分为100分,即2个学分。,七、课程设计注意事项,第二

13、部分 课程设计举例,课程设计举例方法一:用原理图输入方法二:用Verilog HDL描述语言,标题:设计汽车尾灯指示控制电路(vlkc2.gdf) 任务书:设计要求是假设汽车尾部左、右两侧各有三个指示灯(用发光二极管模拟) 控制功能包括: 正常行驶时指示灯全灭; 汽车临时刹车时,左、右两侧三个指示灯全亮; 右转弯时,右侧三个指示灯按循环顺序点亮;,设计项目举例(方法一:用原理图输入),标题:设计汽车尾灯控制电路(vlkc2.gdf) 左转弯时,左侧三个指示灯按循环顺序点亮; 汽车倒车时,所有指示灯按CLK信号同步闪烁; 请在 在Maxplus软件系统平台上建立汽车尾灯控制电路的顶层电路文件并完

14、成编译和仿真。,设计项目举例(方法一:用原理图输入),方法一:用原理图输入其设计步骤 1、逻辑抽象; 2、按题意列功能表 ; 3、设计系统原理框图、顶层图形文件和子模块; 4、建立文件夹,输入设计工程项目名和建顶层图形文件(空壳); 5、设计底层各模块*.gdf 文件,仿真底层各模块*.gdf文件(略),分析正确并打包; 6、打开顶层图形文件,调用创建包符号,设计数字系统原理图; 7、仿真顶层*.gdf 文件,并分析仿真波形,分析正确后并定义芯片管脚号、下载; 8、给出结论 。 vlkc2.gdf,1、 逻辑抽象:输入变量有 时钟CLK(CP),模式输入I4、I3、I2、I1、I0;输出变量:

15、汽车尾部左、右两侧共有6个输出变量,即L3、L2、L1及R3、R2、R1。画示意框图 。 2、 汽车尾灯控制电路功能表如下表所示。,设计项目举例(方法一:用原理图输入),功能表如下表所示:,将功能栏目设为地址输入(A2,A1,A0),其功能表如下表所示:,注:三位二进制环形计数器Q2,Q1,Q0,将功能栏目设为地址输入,其功能表如下表所示:,将功能栏目设为最小项地址输入,其功能表如下表所示,试用最小项形式表示其逻辑函数。,将功能栏目设为最小项地址输入,其功能表如下表所示,试用最小项形式表示其逻辑函数。, 逻辑函数产生器:汽车尾灯控制电路, 数据选择器74LS151 的应用,解:先写出最小项表达

16、式如R1 。,3、 设计汽车尾灯控制电路框图、及顶层原理图如图1所示。 图1 尾灯控制电路顶级框图和顶层原理图,4、顶层*.gdf原理图形文件如图2所示。 图2 尾灯控制电路的顶层图形文件,5、建立设计工程项目名和顶层图形文件(空壳vlkc2.gdf) 6、设计底层各模块*.gdf 文件,仿真底层各模块*.gdf文件(略),分析并打包;, ic1模块逻辑电路图及创建符号,、编码器的功能:列功能表;、编码器的组成:由74148和非门构成。, ic1模块逻辑电路图及创建符号,逻辑电路原理简述:由8/3线优先编码器74LS148和非门电路组成的5/3编码器电路。输入变量为IN4.0,输出变量为A2,

17、A1,A0;当输入变量为IN4.0=01111时,即得A2A1A0=100,推理得IN4.0=10111时,即得A2A1A0=011, 。完成5/3编码器电路功能。(参阅教材P140页集成电路CD4532), ic1模块逻辑电路图、仿真波形及创建符号, ic1模块逻辑电路图、仿真波形及创建符号,仿真波形分析及结论:由仿真波形分析得知输入变量IN4.0 与输出变量A2.0之间关系,分析过程完全符合5/3线优先编码器功能。逻辑电路设计正确。, ic2模块逻辑电路图及创建符号,、环形计数器的功能:画状态图;、编码器的组成:由D触发器和门构成,见教材7P25页。, ic2模块逻辑电路图、仿真波形及创建

18、符号, ic2模块逻辑电路图、仿真波形及创建符号,逻辑电路原理简述:参阅教材P258页例6.2.3 。分析得由D触发器和门电路组成的环形计数器。输入变量为CLK,输出变量为Q2,Q1,Q0;驱动方程为D2=Q1,D1=Q0,D0=Q1 状态状换图为上述所示 。, ic2模块逻辑电路图及创建符号, ic2模块逻辑电路图及创建符号,仿真波形分析及结论:由仿真波形分析得知输入变量CLK上边沿作用下,输出变量Q2.0状态转换过程或称时序关系,分析过程完全符合环形计数器状态转换图功能。电路设计正确。, ic3模块逻辑电路图及创建符号,、函数发生器的功能:列功能表;、写逻辑表达式;由最小项; 、函数发生器

19、的组成:由74151数据选择器发器构成,见教材P157页。, ic3模块逻辑电路图及创建符号, ic3模块逻辑电路图及创建符号,6、打开顶层图形文件,调用已创建包符号,设计数字系统原理电路图;,7、仿真顶层*.gdf 文件,并分析仿真波形,分析正确后并定义芯片管脚号、下载 。,7、仿真顶层*.gdf 文件,并分析仿真波形,分析正确后并定义芯片管脚号、下载 。,8、结论:分析汽车尾灯控制电路的仿真波形图,由图可知仿真波形图具有5项功能,即灭灯、急刹车、左拐弯、右拐弯及倒车等。仿真波形图完全符合设计功能要求,设计达到课题要求。,提问:若再增加二项功能,将作如何设计?,课程设计举例方法一:用原理图输

20、入方法二:用Verilog HDL描述语言,汽车尾灯控制电路框图由三部分组成,即53线优先编码器、环形计数器和组合逻辑电路组成。环形计数器的状态图如图3所示。组合逻辑电路根据控制模式A2 A1、A0,并结合功能真值表,用Verilog HDL硬件描述语言完成编译和仿真。 (vkc2b.gdf),设计项目举例(方法二:用HDL描述语言),方法二:用Verlog HDL描述语言其设计步骤 1、建立文件夹,输入设计工程项目名和建顶层图形文件(空壳),保存文件(vkc2b.gdf)退出; 2、设计底层各模块*.v 文件,仿真底层各模块*.v 文件(略),仿真波形正确并打包; 3、打开顶层图形文件(vk

21、c2b.gdf) ,调用已创建包符号,设计数字系统原理图; 4、仿真顶层*.gdf 文件,并分析仿真波形,分析正确后并选用PLD芯片定义芯片管脚号、下载; 5、给出结论 。,/* 5/3线编码器 ic1 */moduleic1(I,A );output2:0 A;input4:0 I;reg2:0 A;always(I)begin if (I4=0) A=3b100; else if (I3=0) A=3b011; else if (I2=0) A=3b010; else if (I1=0) A=3b001; else if (I0=0) A=3b000; else A=3bx; endend

22、module,1、建立设计工程项目名和顶层图形文件(空壳); 2、设计底层各模块*.v 文件,仿真底层各模块*.v 文件(略),仿真波形正确并打包;,/* 环形计数器 ic2 */moduleic2(Q,CLK );output2:0 Q; input CLK;reg2:0 Q;always(posedge CLK)begin Q2=Q1; Q1=Q0; Q0=Q1endendmodule,/* 组合逻辑电路,即数字函数发生器 ic3 */ moduleic3(R3,R2,R1,L3,L2,L1,CLK,D,M ); output R3,R2,R1,L3,L2,L1; input CLK; i

23、nput2:0 D,M; reg R3,R2,R1; reg L3,L2,L1;,always( M or D or CLK )begin case (M) 0: begin R2=0;R1=0;R0=0;L2=0;L1=0;L0=0;end 1: begin R2=1;R1=1;R0=1; L2=1;L1=1;L0=1; end 2: begin R2=D2;R1=D1;R0=D0;L2=0;L1=0;L0=0; end 3: begin R2=0;R1=0;R0=0;L2=D0;L1=D1;L0=D2; end 4: begin R2=CLK;R1=CLK;R0=CLK; L2=CLK;L

24、1=CLK;L0=CLK; end endcaseendEndmodule,4、仿真顶层*.gdf 文件,并分析仿真波形,分析正确后并选用PID及定义芯片管脚号、下载; ;,3、打开顶层图形文件,调用已创建包符号,设计数字系统原理电路图;,5、结论:分析汽车尾灯控制电路的仿真波形图,由图可知仿真波形图具有5项功能,即灭灯、急刹车、左拐弯、右拐弯及倒车等。仿真波形图完全符合设计功能要求,设计达到课题要求。,第三部分 课程设计项目简述,课程设计课题,设计要求:小时计数器为8421BCD码24进制 ;分和秒计数器为8421BCD码60进制计数器;基本功能为:正常走时; 能校时和校分;整点报时; 时段

25、控制。扩展功能例如定点闹时;星期计数显示; 。系统示意框图如下图所示。,1、多功能数字电子钟(必做),课程设计课题,信号定义:系统示意框图图中输入变量为秒时钟CPS,校时、校分变量为SWH、SWM ;输出变量为小时H、分M及秒S,以及报时FU和时段控制变量Z。,1、多功能数字电子钟(必做),课程设计课题,请用原理图输入法(即图形输入法)及硬件描述语言设计(Verilog HDL语言)两种方法在Quartus软件系统平台上建立多功能数字电子钟电路的 顶层文件并完成编译、仿真及下载。,1、多功能数字电子钟(必做),课程设计课题,、 输入变量:时钟CPS,校分变量为SWH、SWM ; 输出变量: 小

26、时计时H7.4、H3.0为8421BCD码输出,其时钟为CPH;分计时M7.4、M3.0为8421BCD码输出,其时钟为CPM;秒计时S7.4、S3.0为8421BCD码输出,其时钟为CPS;报时FU和时段控制变量Z等。 、 建议:在顶层文件中,由若干低层模块(“打包”)组成整个多功能数字钟,事先分别对各模块作设计、仿真及打包(创建的新的逻辑电路包), 最后级连各模块,统调、仿真、选择芯片及下载,从而实现各项功能。,1、多功能数字电子钟,撰写数字系统的设计报告,1、 封面数字电路EDA课程设计报告、专业、班级、姓名、学号、合作者、指导教师及制作日期 ; 2、 标题及任务书 ; 3、 关键词(不

27、少于5个); 4、 内容摘要; 5、 总体方案示意图或工作原理框图(或流程图); 6、 顶层逻辑电路图组成、信号定义及简单叙述 ; 7、 低层功能模块设计,逻辑抽象(定义 input 和 output),简述逻辑电路工作原理,并要求附有*.gdf 和*.v文件及文件中语句注释;,撰写设计报告格式及要求(供参考),撰写数字系统的设计报告,8、 对应各模块功能仿真波形(数据分析)分析及结论 ; 9、选用芯片型号、定义芯片管脚号(列表格示意)及简述下载过程 ; 10、 课程设计设计中遇到问题及解决方法 ; 11、课程设计设计项目完成最终结论 ; 12、课程设计项目的特点和实用性 ; 13、 心得体会

28、或结束语 ; 14、 参阅教材及文献 ; 15、 其他 。 上述共计15项栏目,撰写设计报告格式及要求(供参考),课程设计课题,、有关规定:课设一人一组;设计仿真及下载、演示必须经教师在实验室验收后,学生课设成绩有效; 课设设计报告一人一份,定于19周前交。 、成绩评定分3部分:多功能数字电子钟基本功能实现,经演示验收后为60分; 报告分为20分,按报告格式要求书写(内含有两种设计方法,即分别用原理图方法和用Verilog语言描述模块); 自己扩展电子钟的其他功能加分;用Verilog语言描述模块加分;总分为100分。,1、多功能数字电子钟,课程设计课题,设计要求:控制功能包括洗衣机的为待机5

29、秒正转60秒待机5秒反转60秒,并用3个LED灯和7段显示器分别表示其工作状态和显示相应工作状态下的运行循环次数 ;可自行设定洗衣机的循环次数,这里设最大的循环次数为设置15,即(1111)B次;具有紧急情况的处理功能。当发生紧急情况时,立即转入到待机状态,紧急情况解除后继续执行后续步骤;洗衣机设定循环次数递减到零时,立即报警,以表示洗衣机设定洗衣机的循环次数已经结束。,2、半自动洗衣机控制电路(选做),课程设计课题,扩展功能由自己拟定、发挥与创新; 请用原理图输入法(图形输入法)和硬件描述语言设计(Verilog HDL语言)两种方法在Max-plus软件系统平台上建立数字洗衣机控制电路的

30、顶层文件并完成编译和仿真。 输入变量:时钟CLK,直接清零CLR,暂停/连续EN,置数(预置数)LD,设定洗衣机的循环次数(如0011次); 输出变量:三个工作状态S、R、L,一个工作过程周期t(秒),8421BCD码HR3:0 和LR3:0输出。报警信号ALARM。,2、半自动洗衣机控制电路(选做),第四部分 多功能数字电子钟的设计与仿真简述,课程设计课题,1、秒 分 小时计时单元功能电路模块 秒 分 计时功能电路模块,课程设计课题,逻辑电路原理简述:由二片74161和门电路组成;Q3.0 作个位计数, Q 7.4作十位计数; 个位计数为(9)时,在时钟作用下个位计数器置零、十位作加1计数;

31、 当逻辑电路输出Q为(59)时,在时钟作用下计数器同步置零; 进位信号是低电平有效,而且与CPS。,课程设计课题,1、秒 分 小时计时单元功能电路模块 秒 分 计时功能电路Verilog语言描述,module vm60(MH,ML,CP60M,CPM);output 3:0 MH;output 3:0 ML;output CP60M;input CPM; reg 3:0 MH;reg 3:0 ML;always (posedge CPM)begin if(MH3:0=4b0101)endmodule,课程设计课题,秒计时功能电路其仿真波形,分析仿真波形可知:S3.0 作个位计数, S 7.4作

32、十位计数;逻辑电路输出为(59)时,在时钟作用下计数器同步置零;个位计数为(9)时,在时钟作用下个位计数器置零、十位作加1计数;。结论是符合秒计时规律,逻辑电路设计正确。 小时 计时功能电路(略),课程设计课题,2、小时、分及秒计时功能电路级连,数字电子钟最基本的计时电路在CPS(秒)时钟作用下,其电路输出变量为H7.0 ,M 7.0及 S 7.0,按8421BCD码正常走时,电路为异步时序逻辑电路。,课程设计课题,2、小时、分及秒计时功能电路级连,由电路分析得知时序电路为异步结构 ,在CPS(秒)时钟用下,秒模块计时为59时发出一进位信号CP60S(低电平),即CPM; 分模块计时为59时发

33、出一进位信号CP60M(低电平),即CPH; 小时模块计时为24进制。电子钟计时电路的输出变量为H7.0 ,M 7.0及 S 7.0,按8421BCD码正常计数走时。,仿真波形如下:,仿真波形分析及结论:由仿真波形分析得知在CPS(秒)时钟作用下,电路正常走时。分析过程完全符合多功能数字电子钟最基本的计时功能,逻辑电路设计正确。,课程设计课题,仿真波形如下:,仿真波形分析及结论:由仿真波形分析得知在CPS(秒)时钟作用下,电路正常走时。分析过程完全符合多功能数字电子钟最基本的计时功能,逻辑电路设计正确。,课程设计课题,2、小时、分及秒计时功能电路级连特别提示,由电路分析得知时序电路为异步结构

34、,在CPS(秒)时钟用下,秒模块计时为59时发出一进位信号CP60S(低电平),即CPM; 分模块计时为59时发出一进位信号CP60M(低电平),即CPH; 小时模块计时为24进制。电子钟计时电路的输出变量为H7.0 ,M 7.0及 S 7.0,按8421BCD码正常计数走时。,仿真波形如下:注意CP60S 、CP60M进位信号,仿真波形分析及结论:由仿真波形分析得知在CPS(秒)时钟作用下,电路正常走时。分析仿真波形过程看出异步时钟逻辑电路,在设计上做到其效果视为同步逻辑电路,即输出变量Q,均在CPS的上升沿作用下!,仿真波形如下:注意CP60S 、CP60M进位信号,仿真波形分析及结论:由

35、仿真波形分析得知在CPS(秒)时钟作用下,电路正常走时。分析仿真波形过程看出异步时钟逻辑电路,在设计上做到其效果视为同步逻辑电路,即输出变量Q,均在CPS的上升沿作用下!,课程设计课题,3、校正小时、分,、校正分的原理:2选一逻辑电路,即SWM 0时,CPM=CPS(校分); SWM1时,CPM=CP60S(正常走时) ;、逻辑电路的组成:由门构成。,课程设计课题,3、校正小时、分,、校正小时的原理:2选一逻辑电路,即SWH0时,CPH=CPS(校时); SWH1时,CPH=CP60M(正常走时) ;、逻辑电路的组成:由门构成。,课程设计课题,3、校正小时、分,逻辑电路原理简述:由与非门和非门

36、电路组成的2选一数据选择器;输入变量为CPS、CP60M、CP60S、及SWH、SWM,输出变量为CPH、CPM;SWHSWM=01时电路功能为校时,SWHSWM=10时电路功能为校分,SWHSWM=11时电路功能为正常走时。,仿真波形如下:,仿真波形分析及结论:由仿真波形分析得知在SWH SWM=01时,电路完成校时功能; SWH SWM=10时电路完成校分功能 ;在SWH SWM=11时,电路正常走时。分析过程完全符合多功能数字电子钟校时功能,逻辑电路设计正确。,课程设计课题,仿真波形如下:,仿真波形分析及结论:由仿真波形分析得知在SWH SWM=01 时,电路完成校时功能; SWH SW

37、M=10时电路完成校分功能 ;在SWH SWM=11时,电路正常走时。分析过程完全符合多功能数字电子钟校时功能,逻辑电路设计正确。,课程设计课题,课程设计课题,3、校正小时、分,提出问题:在逻辑电路设计上如何处理和解决,即SWH=0,SWM=0时逻辑电路功能是同时为校时又校分 ,应避免(SWH,SWM)=00电路功能,即无效(禁止)。使之与(SWH,SWM)=11时电路功能为正常走时。,3、校正小时、分(改进型),3、校正小时、分,逻辑电路原理简述:由2/4译码器、与非门和非门电路组成的校时、校分逻辑电路;输入变量为CPS、CP60M、CP60S、及SWH、SWM,输出变量为CPH、CPM;W

38、HWM=01时电路功能为校时,WHWM=10时为校分,SWHSWM=11(00)时电路功能为正常走时。,仿真波形如下:,仿真波形分析及结论:由仿真波形分析得知在SWH SWM=01时,电路完成校时功能,即(CPH=CPS); SWH SWM=10时电路完成校分功能 (CPM=CPS); 在SWH SWM=11(00)时,电路正常走时。分析过程完全符合多功能数字电子钟校时功能,逻辑电路设计正确。,课程设计课题,多功能数字电子钟的顶层逻辑电路(参考),课程设计课题,3、校正小时、分,module vjaoshi(CPH,CPM,CP60M,CP60S,CPS,SWH,SWM);input CP60

39、M,CP60S,CPS,SWH,SWM;output CPH,CPM;reg CPH,CPM;always (SWH or SWM or CP60S or CP60M or CPS)begin case(SWH,SWM) 2b11: begin CPH=CP60M; CPM=CP60S; end 2b01: begin CPH=CPS;CPM=CP60S; end 2b10: begin CPM=CPS; CPH=CP60M; end 2b00: begin CPH=CP60M; CPM=CP60S; end endcase endendmodule,课程设计课题,4、整点报时(S30时500

40、HZ、S31时1KHZ),逻辑电路原理简述:由门电路和2选1选择器组成的组合电路;输入变量为M7.0、S7.0以及矩形波1Khz、500hz,输出变量为FU;当M7.0 =01011001、 S7.0 =01010001,时,即(51)、( 53)、 (55)、( 57)、秒时电路功能为报4声低音,FU= 500hz,注意这时S3=0; 当(59)秒时,即S31时。,课程设计课题,4、整点报时(S30时500HZ、S31时1KHZ),、整点报时的原理:译码,即译出59分51秒、53秒59秒;、逻辑电路的组成:由门和T触发器构成。,课程设计课题,4、整点报时(S30时500HZ、S31时1KHZ

41、),逻辑电路原理简述:由与非门和非门电路组成的组合电路;输入变量为M7.0、S7.0以及矩形波1Khz、500hz,输出变量为FU;当M7.0 =01011001、 S7.0 =01010001,时,即(51)、( 53)、 (55)、( 57)、秒时电路功能为报4声低音,FU= 500hz,注意这时S3=0; 当(59)秒时,即S31时。,课程设计课题,4、整点报时,仿真波形如下:,仿真波形分析及结论:由仿真波形分析得知在时段控制上,即在59分51秒、53秒、55秒、57秒电子钟扬声器发出500HZ低音,59秒发出1KHZ高音。分析过程完全符合多功能数字电子钟整点报时功能,逻辑电路设计正确。

42、,课程设计课题,课程设计课题,4、整点报时(方法二,提出问题自行研讨!),、整点报时的原理:译码,即译出59分49秒、51秒59秒;(S7.00100 1000,即G481)、逻辑电路的组成:由门、7485和T触发器构成。,仿真波形如下:,仿真波形分析及结论:由仿真波形分析得知在时段控制上,即在59分49秒、51秒、53秒、55秒、57秒电子钟扬声器发出500HZ低音,59秒发出1KHZ高音。分析过程完全符合多功能数字电子钟整点报时功能 。,课程设计课题,多功能数字电子钟的顶层逻辑电路(参考),4、整点报时,module vbaoshi(M,S,CP1k,FU);input7:0 M,S;in

43、put CP1k;output FU;reg FU;reg CP500;wire PM;assign PM=M6 endendmodule,课程设计课题,5、 时段控制(上午05:00直到下午19:00期间,即控制在小于06:00、大于18:00,输出变量Z1),、时段控制的原理:8421BCD数值大小比较。、逻辑电路的组成:由门和四位二进制比较器7485构成。,课程设计课题,5、 时段控制(上午05:00直到下午19:00期间,即控制在小于06:00、大于18:00,输出变量Z1),、时段控制的原理:8421BCD数值大小比较。、逻辑电路的组成:由门和四位二进制比较器7485构成。,课程设计

44、课题,逻辑电路原理简述:输入变量为H7.0,与比较信号BL7.0 =00000110和BH7.0=00011000作比较,时控电路的输入变量为H7.0小于(6)或大于(18)时电路功能为输出Z1,即电路开通,否则Z=0电路关闭 ;前者设H5|H4|H3=HX,取HXH2H1H0位与0110比较;后者取H5H4H3H0位与0110比较,小于(0110)或大于(0110)时 。,课程设计课题,6、 时段控制(上午05:00直到下午19:00) 方法二:,课程设计课题,6、 时段控制(上午05:00直到下午19:00),逻辑电路原理简述:由四位数据大小比较器74LS85和门电路组成的时控电路。输入变

45、量为H7.0,输出变量为Z;输入变量为H7.0,与比较信号BL7.0 =00000110作比较,输入变量为H7.0小于(6)时电路功能为输出Z1,即电路开通,否则Z=0电路关闭 ; 输入变量为H7.0大于或等于(19)时电路功能为输出Z1, 。,仿真波形如下:,仿真波形分析及结论:由仿真波形分析得知在时段控制上,即在上午05:00直到下午19:00期间,输出变量Z0,以外时段输出变量Z1,分析过程完全符合多功能数字电子钟时段控制功能,逻辑电路设计正确。,课程设计课题,多功能数字电子钟的顶层逻辑电路(参考),课程设计课题,6、 时段控制(上午05:00直到下午19:00) 方法三:,module

46、 vsdkz(Z,HH,HL);input 3:0 HH;input 3:0 HL;output Z;reg Z;wire7:0 H;assign H7:4= HH;assign H3:0= HL;always (H)begin if(H=8h19 ) begin Z=1b1; end else Z=1b0;endendmodule,课程设计课题,7、多功能数字电子钟的仿真波形分析最终结论。经仿真波形分析:走时正常;能校时校分;整点报时;时段控制到位。功能完全符合设计要求 ,可以下载。,课程设计课题,7、 多功能数字电子钟的顶层逻辑电路(参考)Kcj09/kechj08/dzztaosi08/dzzzhu08,讲座一: 课设开题及设计与仿真方法辅导讲座二: EDA开发板(装置)和下载过程,课程设计辅导讲座,课程设计简述 结束 !,

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号