Vivado+Zedboard之流水灯(DOC48页).doc

上传人:牧羊曲112 文档编号:1665132 上传时间:2022-12-13 格式:DOC 页数:48 大小:10.53MB
返回 下载 相关 举报
Vivado+Zedboard之流水灯(DOC48页).doc_第1页
第1页 / 共48页
Vivado+Zedboard之流水灯(DOC48页).doc_第2页
第2页 / 共48页
Vivado+Zedboard之流水灯(DOC48页).doc_第3页
第3页 / 共48页
Vivado+Zedboard之流水灯(DOC48页).doc_第4页
第4页 / 共48页
Vivado+Zedboard之流水灯(DOC48页).doc_第5页
第5页 / 共48页
点击查看更多>>
资源描述

《Vivado+Zedboard之流水灯(DOC48页).doc》由会员分享,可在线阅读,更多相关《Vivado+Zedboard之流水灯(DOC48页).doc(48页珍藏版)》请在三一办公上搜索。

1、Vivado+Zedboard之流水灯环境: Vivado 2014.2开发板:Zedboard version xc7z020clg484-1实验: 使用Vivado和SDK进行Zedboard开发,制作一个简单的流水灯程序以说明软硬件协同设计的方法、开发流程等。本文将分为三个部分:1. 使用Vivado创建一个工程,并构建一个Zynq嵌入式处理系统2. 在上述基础上,将完成后的硬件导入到SDK中进行软件设计。3. 最后下载到ZedBoard上进行调试。1. 使用Vivado创建工程1.1新建工程1.鼠标左键双击Vivado 2014.2图标,打开Vivado 2014.2,出现如下界面:2

2、.单击Create New Project创建一个新的工程: 3.单击Next执行下一步;4.选择工程所在的位置,并输入工程名test_led,单击Next;5在Vivado中新建一个RTL工程,暂不添加文件,单击Next;6. 单击Next执行下一步;7. 单击Next执行下一步;8. 单击Next执行下一步;9.这一步要注意,在左上角Specify处选择Boards,选择ZedBoard Zynq Evaluation and Development Kit version D器件,单击Next;10.单击Finish11.等待软件根据设定新建一个RTL工程。 这样新建工程的步骤就完成了,

3、下面进行硬件设计步骤;1.2硬件设计 新建工程的界面如下:1. 单击Create Block Design,创建并添加IP核;2.输入工程名led,单击OK;3.等待软件Create Block Design,创建完成后的界面如下:4. Add IP,一般会提示This design is empty.To get started, Add IP from the catalog,单击Add IP会出现IP的目录,如果此处没有提示,可以单击Diagram左边框的Add IP添加;5. 在目录Search中输入ZYNQ7 Processing System;6.双击ZYNQ7 Processin

4、g System完成IP核的添加;7.等待软件Add IP,添加完成后的界面如下:8.单击Run Block Automation;9.单击processing_system7_0;10.单击OK;11.等待软件运行,运行后的界面为:12.如果想了解内部的详细结构和进行接口配置,可以双击ZYNQ7 Processing System核,(此实验不需要设置,如果想直接进行下一步实验可以直接跳到步骤16)13.等待软件运行,运行后的界面为:14.单击左侧对应内容可以进行接口配置:15.单击OK完成配置;16.继续添加IP ,单击左侧的Add IP图标:17.在目录Search中输入AXI GPIO

5、;18.双击AXI GPIO添加;19.继续添加IP,单击左侧的Add IP图标,在目录Search中输入AXI BRAM Controller:20.双击AXI BRAM Controller,完成添加;21继续添加IP,单击左侧的Add IP图标,在目录Search中输入Block Memory Generator:22. 双击Block Memory Generator,完成添加;23.右键单击Block Memory Generator,选择Customize Block:24. Mode处选择BRAM Controller,Memory Type处选择True Dual Port R

6、AM:25单击OK完成设置;26.连接the Block Memory Generator to the AXI4 BRAM Controller 27Run Connection Automation 选择 /axi_gpio_0/S_AXI:28.单击OK,完成此部分的连接;29. Run Connection Automation again, 选择/axi_gpio_0/GPIO; 30.选择leds_8bits,单击OK;31.Run Connection Automation again, 选择/axi_bram_ctrl_0/S_AXI;32.单击OK;33. 修改the ran

7、ge of the AXI BRAM Controller to 64K:34. 单击File选择Save Block Design保存设计(也可以直接Ctrl+S)1.3硬件处理1. Tools Validate Design2等待软件运行,运行后的界面如下:3.单击OK;4.right-click the top-level subsystem design-Generate Output Products5.单击Generate,等待软件运行,运行完成后right-click the top-level subsystem design-Create HDL Wrapper6单击OK;7

8、. 单击Generate Bitstream,单击Yes,首先进行分析综合和实现;(这里也可以直接先点击Run Synthesis,然后点击Run Implementation,然后再点击Generate Bitstream)需要等待运行的时间比较长,本机大概需要15分钟;8.运行完成后,会自动弹出对话框,选择Open Implemented Design,单击OK:9.运行完成后的界面如下:10. 在关联到SDK时,需要将Package和Device都打开,如果运行后只是自动打开了device,需要Open Synthesized Design来打开Package11.单击NO,将Packa

9、ge和Device同时显示出来;打开后的界面如下:12. 单击Open Block Design to invoke the IP integrator design13. 连接 micro-usb cable between the PC and the JTAG port of the board, another micro-usb cable between the PC and the UART port of the board,.打开Zedboard板的电源,如下图所示,打开电源之后POWER灯会亮;14.单击Hardware Manager中的Open Target,这里因为曾

10、经在本机上使用过Zedboard,所以会直接显示出Localhost,如果是第一次连接Zedboard,要单击Open New Target(如果直接显示出Localhost,可以直接点击Localhost进行步骤18)15单击Next;(如果hardware manager中找不到硬件板,这是由于在任务管理器的进程中,hw_server.exe进程一直在执行,需要将其关掉,如果关了之后还检测不到硬件板,则需要关机之后再开机便可;)16.选择Local server,单击Next;17.软件会自动检测出Localhost,选择后单击Next;18.单击Finish,自动Open Target;

11、19. 单击Hardware Manager中的Program Device,单击xc7z020_1:20.单击Program将比特流烧写到ZedBoard板上;完成后板上的DONE蓝灯会亮,提示成功:21.单击File中的Export,单击Export Hardware22.单击OK23. 单击File中的launch SDK:24.单击OK;这样在Vivado中的操作就完成了,软件会自动打开SDK:SDK中的软件设计打开后的SDK界面如下:1. 单击File New Application Project2.输入工程名zj,单击Next:3. 选择一个空的模板:empty applicat

12、ion,单击Finish,等待工作环境的建立:4. 单击led 右键单击src new Source File5.输入工程名zj.c,单击Finish;6. 编写如下程序,Ctrl+S,保存的同时,软件会自动开始编译,在左下角problem处可以看到相应的warning和error;程序如下:#include xparameters.h /* Peripheral parameters */#include xgpio.h /* GPIO data struct and APIs */#include xil_printf.h#include xil_cache.h#define GPIO_B

13、ITWIDTH 8 /* This is the width of the GPIO */#define GPIO_DEVICE_ID 0/device id#define LED_DELAY 10000000/* times delay*/#define LED_MAX_BLINK 0x1 /* Number of times the LED Blinks */#define LED_CHANNEL 1 /* GPIO channel*/#define printf xil_printf /* A smaller footprint printf */XGpio Gpio; /* The I

14、nstance of the GPIO Driver */XGpio GpioOutput; /* The driver instance for GPIO Device configured as O/P */int GpioMarquee (u16 DeviceId, u32 GpioWidth) volatile int Delay; u32 LedBit; u32 LedLoop; int Status; /* * Initialize the GPIO driver so that its ready to use, * specify the device ID that is g

15、enerated in xparameters.h */ Status = XGpio_Initialize(&GpioOutput, DeviceId); if (Status != XST_SUCCESS) return XST_FAILURE; /Set the direction for all signals to be outputs XGpio_SetDataDirection(&GpioOutput, LED_CHANNEL, 0x0); / Set the GPIO outputs to low XGpio_DiscreteWrite(&GpioOutput, LED_CHA

16、NNEL, 0x0); for (LedBit = 0x0; LedBit GpioWidth; LedBit+) for (LedLoop = 0; LedLoop LED_MAX_BLINK; LedLoop+) /Set the GPIO Output to High XGpio_DiscreteWrite(&GpioOutput, LED_CHANNEL,1 LedBit); /Wait a small amount of time so the LED is visible for (Delay = 0; Delay LED_DELAY;Delay+); /Clear the GPI

17、O Output XGpio_DiscreteClear(&GpioOutput, LED_CHANNEL,1 LedBit); / Wait a small amount of time so the LED is visible for (Delay = 0; Delay =1000) cnt=0; else printf(FAILED.rn); return XST_SUCCESS;7. 单击Xilinx Tools program FPGA将比特流烧写到板上(在Vivado中就先将比特流烧写到板上有两个原因:1.如果SDK调试时出现问题,这样可以检测首先是不是Vivado的问题,如果成

18、功烧写,说明板的连接没有问题,并且Vivado软件本身没有问题;2.在之前的调试过程中出现过直接Xilinx Tools program FPGA无法烧写的情况;因此,保险起见,选择在Vivado中就先将比特流烧写到板上)8.单击Program(和在Vivado中烧写的现象一样,完成后DONE蓝色指示灯会亮)注:如果软件本身以及板的连接没有问题,那么这个步骤会在三秒左右完成,如果一直卡在一半的进度,说明SDK和Vivado没有很好的建立关联;9.在Terminal处,单击带有加号的图标,对串口进行配置:10. 在用到串口打印时,需要设置的COM口,为设备管理器中的USB serial port(本机为COM5),因此要选择COM5,注意波特率为115200: 11右键工程目录中的zj目录,选择Run As Run Configurations; 12.在STDIO Connection中设置COM 5和波特率115200:13.单击Run即可运行程序,看到流水灯的效果,每次跑完一圈会打印:数字:SUCESS!.的消息,数字为流水灯循环的次数。 至此,Vivado+Zedboard的流水灯实验就完成了,板子不使用时要记得关闭电源。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号