第8讲VHDL语言中的函数子程序包和库课件.ppt

上传人:牧羊曲112 文档编号:2109585 上传时间:2023-01-11 格式:PPT 页数:38 大小:629.85KB
返回 下载 相关 举报
第8讲VHDL语言中的函数子程序包和库课件.ppt_第1页
第1页 / 共38页
第8讲VHDL语言中的函数子程序包和库课件.ppt_第2页
第2页 / 共38页
第8讲VHDL语言中的函数子程序包和库课件.ppt_第3页
第3页 / 共38页
第8讲VHDL语言中的函数子程序包和库课件.ppt_第4页
第4页 / 共38页
第8讲VHDL语言中的函数子程序包和库课件.ppt_第5页
第5页 / 共38页
点击查看更多>>
资源描述

《第8讲VHDL语言中的函数子程序包和库课件.ppt》由会员分享,可在线阅读,更多相关《第8讲VHDL语言中的函数子程序包和库课件.ppt(38页珍藏版)》请在三一办公上搜索。

1、第八讲 VHDL语言中的函数、子程序、包和库,第八讲 VHDL语言中的函数、子程序、包和库,提纲,结构模型层次与抽象类属配置总结,提纲,函数(Function),函数(Function),函数(Function),函数的外部参量与模式默认的模式是in函数不能修改输入参量函数内部定义的变量在每一次调用时都要被初始化函数内部不允许出现Wait语句函数不能调用另一函数,函数(Function)函数的外部参量与模式,函数(Function),在结构或进程的定义区域加入函数代码,函数(Function),函数举例:时钟沿判断函数,函数举例:时钟沿判断函数,函数举例:位矢量函数,函数的一种用法:类型转换,

2、函数举例:位矢量函数,函数举例:判决函数,信号赋值语句的基本结构:signal=(value expression after time expression)右边的的部分常称为一个波形元素每一个信号和一个驱动器对应,函数举例:判决函数信号赋值语句的基本结构:,函数举例:判决函数,在驱动器中保存了信号的当前值和未来时刻的值,即该信号的预期波形信号赋值语句的执行就是对一个信号的驱动器进行修改信号的当前值就是驱动器头部的值,函数举例:判决函数,函数举例:判决函数,如何计算共享信号的值?引入判决函数,函数举例:判决函数,函数举例:判决函数,当冲突的信号事件发生时就需要引用判决函数判决是一种隐式的关联

3、操作,函数举例:判决函数,函数举例:判决函数,函数举例:判决函数,函数举例:判决函数,电路行为如果有任何其中一个控制信号打开了开关,则输出信号被拉低VHDL描述如果有任何一个信号驱动器试图将输出信号拉低(驱动器头部的值),则判决函数返回一个0值在任何一个驱动器试图对输出信号赋值时,判决函数将被调用,函数举例:判决函数,函数举例:判决类型std_logic,函数举例:判决类型std_logic,函数举例:判决类型std_logic,函数举例:判决类型std_logic,函数举例:“线或”逻辑,多个芯片共同驱动一个共享的出错指示信号结构值是各个驱动信号的逻辑或,函数举例:“线或”逻辑,函数举例:“

4、线或”逻辑,函数举例:“线或”逻辑,函数资源,在IEEE包(package)中有标准函数定义,可以参考学习,函数资源,子程序(Procedure),参数的模式可以是 in(读)和 out(写)默认的输入参数类型是常数默认的输出类型是变量子程序内部定义的变量在子程序每一次调用时将被初始化,子程序(Procedure),子程序(Procedure),子程序(Procedure),子程序(Procedure),子程序(Procedure),子程序(Procedure),子程序的位置决定了它的可见性(visibility)和用途,子程序(Procedure),子程序与信号,子程序与信号,子程序与信号,

5、子程序可以对作为输入参量的信号进行赋值按照设计中的层次划分,子程序可以对来自高层的非参量表中的信号,如端口进行赋值按照设计中的层次划分,子程序中设计的信号可能在子程序之外定义,子程序与信号,并行与顺序的子程序调用,并行与顺序的子程序调用,并行子程序调用,并行子程序调用,等价的顺序子程序调用,等价的顺序子程序调用,子程序的重载,电路单元在输入信号的数量和输入信号的类型上存在差异用不同的子程序来描述这些电路单元子程序的命名将变得很烦琐,子程序的重载,子程序的重载,上述电路单元可以描述为:dff_bit(clk,d,q,qbar)asynch_dff_bit(clk,d,q,qbar,reset,c

6、lear)dff_std(clk,d,q,qbar)asynch_dff_std(clk,d,q,qbar,reset,clear)所有的上述电路单元都采用相同的名字子程序重载通过调用时的参量表来找到正确的子程序,子程序的重载,子程序的重载,VHDL是一种强类型语言重载是一种处理用户自定义类型的有效、方便的办法对重载操作和重载机制的管理导致了包(package)的概念的出现,子程序的重载,包(package),包的申明部分对包中所包含的函数,子程序和类型进行申明用作包的接口只有被申明的内容才可以被外部引用通过use子句来对包进行引用包体对包头中定义的函数和子程序进行实现实例化包头中定义的常数,

7、包(package)包的申明部分,包举例:std_logic_1164包头,包举例:std_logic_1164包头,包体,包体是被编译到库(library)中的新定义的类型必须具有关于运算的定义,例如逻辑运算(如,and,or)和算术运算(如,+,*)分析存储在IEEE 库中的std_logic_1164包,包体,库(library),设计单元最终被编译和存储在库中逻辑库的名字和其物理存储目录相对应STD库和WORK库是隐含定义的,库(library),库:设计内容,对基本设计单元和次级设计单元进行区分定义了编译顺序,库:设计内容,库:可见性规则,库:可见性规则,库:可见性规则,When m

8、ultiple design units are in the same file visibility of libraries and packages must be established for each primary design unit(entity,package header,configuration)separately!Secondary design units derive library information from associated primary design unitThe use clause may selectively establish

9、 visibility,e.g.,only the function rising_edge()is visible within entity design-2 Secondary design inherit visibilityNote design unit descriptions are decoupled from file unit boundaries,库:可见性规则When multiple design un,Summary,Functions Resolution functions Procedures Concurrent and sequential procedure calls Subprogram overloading Packages Package declaration-primary design unit Package body Libraries Relationships between design units and libraries Visibility Rules,Summary Functions,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号