ISE软件操作.ppt

上传人:文库蛋蛋多 文档编号:2240867 上传时间:2023-02-05 格式:PPT 页数:69 大小:2.94MB
返回 下载 相关 举报
ISE软件操作.ppt_第1页
第1页 / 共69页
ISE软件操作.ppt_第2页
第2页 / 共69页
ISE软件操作.ppt_第3页
第3页 / 共69页
ISE软件操作.ppt_第4页
第4页 / 共69页
ISE软件操作.ppt_第5页
第5页 / 共69页
点击查看更多>>
资源描述

《ISE软件操作.ppt》由会员分享,可在线阅读,更多相关《ISE软件操作.ppt(69页珍藏版)》请在三一办公上搜索。

1、1,FPGA CAD flow,2,involves the following basic steps:,Design Entry the desired circuit is specified either by using a hardware description language,such as Verilog or VHDL,or by means of a schematic diagram(原理图)Synthesis the CAD Synthesis tool synthesizes the circuit into a netlist that gives the lo

2、gic elements(LEs)needed to realize the circuit and the connections between the LEsFunctional Simulation the synthesized circuit is tested to verify its functional correctness;the simulation does not take into account any timing issues,Fitting(装配)the CAD Fitter tool determines the placement of the LE

3、s defined in the netlist into the LEs in an actual FPGA chip;it also chooses routing wires in the chip to make the required connections between specific LEsTiming Analysis propagation delays(传播延迟)along the various paths in the fitted circuit are analyzed to provide an indication of the expected perf

4、ormance of the circuitTiming Simulation the fitted circuit is tested to verify both its functional correctness and timingProgramming and Configuration the designed circuit is implemented in a physical FPGA chip by programming the configuration switches that configure the LEs and establish the requir

5、ed wiring connections,3,ISE 套件的介绍,4,Xilinx 是全球领先的可编程逻辑完整解决方案的供应商,研发、制造并销售应用范围广泛的高级集成电路、软件设计工具以及定义系统级功能的 IP(Intellectual Property)核,长期以来一直推动着FPGA技术的发展。Xilinx的开发工具 ISE设计输入:ISE 提供的设计输入工具包括用于 HDL 代码输入和查看报告的 ISE 文本编辑器(The ISE Text Editor),用于原理图编辑的工具 ECS(The Engineering Capture System),用于生成 IP Core 的 Core

6、 Generator,用于状态机设计的 StateCAD 以及用于约束文件编辑的 Constraint Editor等。综合:ISE 的综合工具不但包含了 Xilinx 自身提供的综合工具 XST,还可以实现其它公司产品的无缝链接。,仿真:ISE 本身自带了一个具有图形化波形编辑功能的仿真工具 HDL Bencher实现:此功能包括了翻译、映射、布局布线等,还具备时序分析、管脚指定以及增量设计等高级功能。下载:下载功能包括了 BitGen,用于将布局布线后的设计文件转换为位流文件,还包括了 ImPACT,功能是进行设备配置和通信,控制将程序烧写到 FPGA 芯片中去。使用 ISE 进行 FPG

7、A 设计的各个过程可能涉及到的设计工具,5,XC3S200A_FT256开发板,6,XC3S200A_FT256开发板,20万逻辑门的 Xilinx Spartan-3AN XC3S200AN FPGA 包装为FT256只脚位(XC3S200AN-4-FT256-C)内含16个18K-bit 的Block RAMs(共 216K bits)内含16个18x18 硬件乘法器 内含4个 Digital Clock Managers(DCMs)内含195个可用脚位PROM XCF02S-VO2040MHz的振荡晶体VGA输出接口(8色)128kx8bits 静态内存喇叭,7,ISE 软件的安装,基本

8、硬件要求如下:CPU 在 P III 以上,内存大于 256M,硬盘大于 4G 的硬件环境安装。为了更好地使用软件,至少需要 512M 内存,CPU 的主频在 2GHz以上。安装过程(详见电子文档“ISE9.01教程.pdf”)用户需要选择自己使用的芯片所对应的模块,这样才能在开发中使用这些模块。在计算机硬盘资源不紧张的情况下,通常选择“Select All”。在完成 XILINX ISE9.1 的安装之后,请再安装UPDATE文件夹下的 SP3补丁包。,8,ISE 软件界面,9,标题、菜单、工具栏,工程管理区,过程管理区,源文件编辑区,信息显示区,实验 1 硬件设计语言基础(4 位计数器 双

9、向加减功能),熟悉电子设计软件的使用(建立工程、建立设计、综合、引脚分配、仿真验证)与基本语法。设计简单的组合逻辑电路,验证逻辑功能。要求给出对应的真傎表或表达式,编写程序,建立仿真输入波形并得到仿真结果。要求:设计一个4位或多位的计数器。1.使用XC3S200A_FT256 开发板上的40MHz的外部Clock 频率,并实现简单的分频功能,能对分频后的信号进行计数;2.能够实现双向计数功能,即能以加或减的方式进行计数;3.仅需要完成硬件的仿真结果。,10,1.新建工程,首先打开ISE,每次启动时ISE都会默认恢复到最近使用过的工程界面。当第一次使用时,由于此时还没有过去的工程记录,所以工程管

10、理区显示空白选择FileNew Project选项,在弹出的新建工程对话框中的工程名称中输入“Lab1_counter4”。在工程路径中单击Browse按键,当工程放到指定目录,11,然后点击“Next”进入下一页,选择所使用的芯片类型以及综合、仿真工具。,12,仿真工具,芯片类型,再点击“Next”进入下一页,可以选择新建源代码文件,也可以直接跳过,进入下一页。第页用于添加已有的代码,如果没有源代码,点击“Next”,进入最后一页,单击确认后,就可以建立一个完整的工程。,13,添加已有的代码,新建源代码文件,14,2.代码输入,在工程管理区任意位置单击鼠标右键,在弹出的菜单中选择“New S

11、ource”命令,会弹出New Source对话框。,15,16,模块端口定义对话框,17,18,VHDL代码的输入,19,20,3.XST综合,所谓综合,就是将HDL语言、原理图等设计输入翻译成由与、或、非门和RAM、触发器等基本逻辑单元的逻辑连接(网表),并根据目标和要求(约束条件)优化所生成的逻辑连接,生成EDF文件。,21,右键|Run,综合结果报告,22,4.仿真,23,Synthesis/Implementation,Behavioral Simulation,下拉切换,下拉切换,右键|New Source,24,25,设定clock 为50Mhz 的输入(周期为20ns),26,

12、输入波形,27,展开Simulate Behavioral Model右键Run设置仿真时间长度Properties,28,29,在count_out上,右键|Decimal(Unsigned),30,在count_int上,右键Add to Waveform重新进行一次仿真,31,32,实验 2 熟悉综合硬件实验系统(实验 1 的硬件实现),熟悉所选用的 ISP的CPLD/FPGA 芯片的功能,熟悉综合硬件实验系统的基本功能和资源配置、输入接口和输出接口,了解程序下载的软件和下载方法。要求:1.完成实验 1 的硬件实现,将计数的结果输出到开发板上的 LED 上进行显示;2.掌握LED的使用;

13、3.适当调整计数信号的频率,使肉眼能观察到计数的过程。,33,适当调整计数信号的频率,使肉眼能观察到计数的过程。,设CLK为40MHz,得到约1S的时间,则需要计数40106次,34,5.引脚分配,35,XC3S200A FT256 Package Footprint top view,36,实验板上有16 个 LED,当输出高电位1时,LED 亮,当输出低电位0时,LED不亮,37,NET LED_out LOC=M14;NET LED_out LOC=M13;NET LED_out LOC=K13;NET LED_out LOC=L13;NET LED_out LOC=M16;NET LE

14、D_out LOC=M15;NET LED_out LOC=L16;NET LED_out LOC=L14;NET LED_out LOC=J13;NET LED_out LOC=J12;NET LED_out LOC=K14;NET LED_out LOC=K15;NET LED_out LOC=J16;NET LED_out LOC=K16;NET LED_out LOC=H14;NET LED_out LOC=J14;,FPGA与LED之间的连接关系,38,FPGA与LED之间的连接关系,Assign Package Pins,39,RUN,40,41,P9:板子上的CLK为40MHz的

15、振荡晶体E6:1个DIP_SWITCHK5:1 个 PUSH_BUTTON,42,PUSH_BUTTON 与DIP_SWITCH输入,实验板上有8 个 PUSH_BUTTON与 4个 DIP_SWICTH与 1个 RESET按键与 1个PROGRAM,位于板子的最下方.当 PUSH_BUTTON 按下时,输入的电压准位为低电位0;当不按时为1 当DIP_SWITCH往左切为ON时,输入电压准位为低电位0;而右切时为OFF.当RESET按下时,输入的电压准位为低电位0;当不按时为1 当 PROGRAM按下时,FPGA重新执行Configuration Download,43,44,Edit Co

16、nstrains(Text),45,6.实现设计,Implement Design右键RUN,46,7.Generate Programming File,Generate Programming File右键RUN生成,47,XC3S200A_FT256开发板的连接,48,电源插头 J3 为外部 5 V 输入,内正外负的接头,提供板子的电源输入,在 J3的下方的S1为电源的切换开关,S1 切到下边时,电源开启;S1切到上边时,电源关闭.,J3,S1,电源适配器,电源指示,Spartan-3S200AN 以内部的稳压器,将+5V稳压成+3.3V,+1.2V 等二种电源,其中+3.3V,+1.2

17、V则提供Spartan-3S200AN板子使用,这两种电源则有D1,D6绿色LED显示电源正常.(详见 EDK_3SAISE_使用手册.PDF 第8页),49,下载线的连接,透过JTAG与ISE软件的Impact,可以将使用者程序Download到FPGA,这一种方式的接口相关电路已包含于板子之中,利用DB25 的连接线,连接计算机的 Printer Port与开发板的 P2,就可以利用 Impact来 Download.使用前请确认 J4 的Connector已经将 4个短路跳线座装好,50,51,8.Configure Device(iMPACT),Configure Device右键RUN弹出窗口,52,53,54,55,下载,56,选中,双击,选择要下载的BIT文件,右键Assign New Configuration File,57,OK,58,选中Program双击,59,弹出对话框OK下载大约两分钟,60,9.生成PROM文件,PROM File Formatter双击,61,62,63,xcf02s,64,65,66,67,68,69,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 建筑/施工/环境 > 项目建议


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号