VHDL与数字电路设计PPT课件第七章 状态机设计.ppt

上传人:laozhun 文档编号:2266145 上传时间:2023-02-08 格式:PPT 页数:17 大小:276.50KB
返回 下载 相关 举报
VHDL与数字电路设计PPT课件第七章 状态机设计.ppt_第1页
第1页 / 共17页
VHDL与数字电路设计PPT课件第七章 状态机设计.ppt_第2页
第2页 / 共17页
VHDL与数字电路设计PPT课件第七章 状态机设计.ppt_第3页
第3页 / 共17页
VHDL与数字电路设计PPT课件第七章 状态机设计.ppt_第4页
第4页 / 共17页
VHDL与数字电路设计PPT课件第七章 状态机设计.ppt_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《VHDL与数字电路设计PPT课件第七章 状态机设计.ppt》由会员分享,可在线阅读,更多相关《VHDL与数字电路设计PPT课件第七章 状态机设计.ppt(17页珍藏版)》请在三一办公上搜索。

1、第七章 状态机设计,VHDL与数字电路设计,本章内容,状态机的电路设计交通灯控制器设计,1、状态机的电路设计,我们可以用输入信号X和电路状态Q来描述时序电路的逻辑功能,这时时序电路称为状态机(State Machine)。根据输出信号和输入信号以及电路状态的关系,状态机可以分为两种:穆尔(Moore)型和米利(Mealy)型。,Y=FX,Q,Y=FQ,米利(Mealy)型:,穆尔(Moore)型:,(1)More型状态机,输入信号影响状态的转换。,输出信号和输入信号无关。,LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY more ISPORT(C

2、lk,reset:in Std_logic;din:in Std_logic;op:out Std_logic);END more;ARCHITECTURE a OF more ISTYPE STATE_TYPE IS(s0,s1,s2,s3);SIGNAL state:STATE_TYPE;BEGIN,PROCESS(clk,reset)BEGIN If reset=1 THENstate IF din=1 THEN state IF din=0 THEN state IF din=0 THEN state IF din=1 THEN state=s0;else state=s1;END I

3、F;End Case;End if;End if;End process;op=1 when state=s1 else 0;END a;,(2)Mealy型状态机,LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY mealy ISPORT(Clk,reset:IN STD_LOGIC;-clock din:IN STD_LOGIC;op:OUT STD_LOGIC);END mealy;ARCHITECTURE a OF mealy ISTYPE STATE_TYPE IS(s0,s1,s2,s3);SIGNAL state:STATE_TYPE

4、;BEGIN,PROCESS(clk,reset)BEGINIf reset=1 THENstate IF din=1 THEN state IF din=0 THEN state IF din=0 THEN stateIF din=1 THEN state=s0;else state=s1;END IF;END CASE;End if;End if;END PROCESS;op=1 when(state=s0 and din=1)or(state=s1 and din=1)or(state=s3 and din=1)else 0;-输出不仅与状态有关,而且和输入有关。END a;,2、交通灯

5、控制器的设计,设计一个十字路口交通灯控制器,东西、南北方向有红灯、黄灯、绿灯,持续时间分别为45、5、40秒。,电路框图,交通灯控制流程图,S0,S1,S2,S3,LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL;ENTITY nclight ISPort(clk:in std_logic;ra,rb,ya,yb,ga,gb:out std_logic);END nclight;Architecture a of nclight istype state is(S0,S1,S2,S3);signal

6、 presentstate,nextstate:state;signal tmp1,tmp2:integer range 0 to 40;signal timeout1,timeout2:std_logic;signal q:std_logic_vector(21 downto 0);signal sec:std_logic;Begin,-get 1 hz clock pulseprocess(clk)beginif clkevent and clk=1 then q=q+1;end if;sec=q(21);-get 1 hz clock pulseend process;timing:pr

7、ocess(sec)beginif secevent and sec=1 then if tmp1=39 then timeout1=1;timeout2=0;tmp1=0;else if timeout1=1 then if tmp2=4 then timeout2=1;timeout1=0;tmp2=0;else tmp2=tmp2+1;end if;else tmp1=tmp1+1;end if;end if;end if;end process;,changestate:process(presentstate)Begin case presentstate is when S0=if

8、 timeout1=0 then nextstate if timeout2=0 then nextstate=s1;ra=0;ya=1;ga=0;rb=1;yb=0;gb=0;else nextstate=s2;end if;,when S2=if timeout1=0 then nextstate if timeout2=0 then nextstate nextstate=s0;timeout1=0;timeout2=0;end case;end process;end a;,MajpjMVcyzj21HLfrvy96dv02lPPfYgxUS7IYmZkyEmZ0kGeYZS3bpLC

9、kYH1lt4EK7CxmUX3ijoYSOer7ZuaVWYgz4EpZrUirVpMzzvNtf1XZw5oswSXOtFaejnOcmfE1lZgnN1RSXg8wLCG8CVQ3XPJMvodPFWcpiYJgZazNSEPNIaklYSu7qSd1UpaxmZDlpN9zW7kljfsLCLi26Yv109ffbnDH8LbUN1G6ACURQ39eG12KHL9tXsZ1jzgoCK8g1kuNOh5eFvcmVT5ZYVQt9zk3rp3qLnf02FovEXxVRxjCcFRNppiJljNiOuk6fONnyX7fyGg7sXZ49BmCN5oy9VesHpKzdjTKwjr

10、kCEQCFDehVmGax3lrOEbw63VscA3YSijtUKoCyiLzAlVRp7l4QgPNHxvJFFDyjUVN3oHlMah0XBd4uTbkfPIhHtw0evPmYOrdhEDoPwvYhzlGplU1AU9mpyiCXH8gpPCBRYjq77VcnbXumNE1yGfyTsbSj89J63kRTKDkKUg3mdS5sJ4X5cQ8dK7oW9IkScssECQdz2O9UTlpRjAFPChjhLdzopQzwxQf8ozdzOhogwAooXpUF83BX4C3jRgjDJiiXEUDMaNz4vQ4n164vspddHvOIVuBBdMA4xp1YhiHk0v

11、OJ8TL1BxogzVlMpmod6ianYGmksQq6NWCEd56hZF4wfaNyZcrGfNxnPiG6ZAxSkfmhJAKtNmCqbRmppeXp8inz4eq3HkWCMSORyMMX522xpHG6basNr6KQfbZsFbHjzyNlJrruLolKFcC84dqfijBO5Dy2NaBcNEBPgQrT12PgpcKx2or2YChN5DPjs80zzdtdAdTKuW4uVv9bbZu3K2SZ2aEhTlIC1UqrIWibkzwHh6p8gLv26zr01mJybfOzFc4T7kQH1IpPwOzMDnAKPLsLrznXGjFNIA9bSWWms6ibKZwQIKrMzalwbFrQJvOP1rPH8rx2KkyYqrtQk5VRwM1HSX,

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 建筑/施工/环境 > 项目建议


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号