CPU大作业实验报告.doc

上传人:laozhun 文档编号:2883010 上传时间:2023-03-01 格式:DOC 页数:64 大小:6.67MB
返回 下载 相关 举报
CPU大作业实验报告.doc_第1页
第1页 / 共64页
CPU大作业实验报告.doc_第2页
第2页 / 共64页
CPU大作业实验报告.doc_第3页
第3页 / 共64页
CPU大作业实验报告.doc_第4页
第4页 / 共64页
CPU大作业实验报告.doc_第5页
第5页 / 共64页
点击查看更多>>
资源描述

《CPU大作业实验报告.doc》由会员分享,可在线阅读,更多相关《CPU大作业实验报告.doc(64页珍藏版)》请在三一办公上搜索。

1、实验目的1深入理解基本模型计算机的功能、组成知识;2深入学习计算机各类典型指令的执行流程;3学习硬布线控制器的设计过程和相关技术;4在掌握部件单元电路实验的基础上,进一步将单元电路组成系统,构造一台基本模型计算机;5定义20条MIPS指令集的典型指令,并编写相应的汇编程序,能在模型机上调试,掌握计算机整机概念;6通过熟悉较完整的计算机的设计,全面了解并掌握硬布线控制方式计算机的设计方法,真正理解利用软件进行硬件设计的方法和技巧。实验原理在部件实验过程中,各部件单元的控制信号是人为模拟产生的,而本实验将能在硬布线控制下自动产生各部件单元控制信号,实现特定的功能。实验选择了MIPS体系结构中比较典

2、型的指令,使用QuartusII软件工具,通过原理图以及VHDL语言设计CPU的逻辑电路来实现这些指令。另外还用MIPS汇编语言编写了用于CPU测试的简单程序,对所设计的CPU逻辑电路进行功能仿真模拟,以验证CPU逻辑电路的正确性。实验涉及到的R指令有ADD、SUB、AND、OR、XOR、SLL、SRL、SRA、JR;I指令有ADDI、ANDI、ORI、XORI、LW、SW、BEQ、BNE、LUI;J指令有J、JAL。指令格式如下图所示。单周期CPU的逻辑电路图跳转指令寄存器指定是从32位的寄存器堆中选择出一个32位的寄存器用于读或写数据。由于JAL指令要把分支延迟槽下一条指令的指令地址保存在

3、寄存器31号中,故需要设置一个控制信号CALL,当CALL=1时,选择31号寄存器,为JAL跳转指令提供寄存器,当CALL=0时,从32位的寄存器堆中根据地址选择出一个32位的寄存器用于读或写数据。它的真值表如表9-1所示,电路符号如图9-1所示。表9-1 跳转指令寄存器指定的真值表输入CALL10REGN4.0XREGN4.0输出WN4.011111REGN4.0原理图设计跳转指令寄存器指定元件图5-10-2跳转指令寄存器指定电路符号由描述和真值表创建跳转指令寄存器指定的原理图,如图5-10-2所示:图5-10-1 跳转指令寄存器指定的原理图VHDL设计跳转指令寄存器指定元件由于VHDL语法

4、的多样性和灵活性。通常可采用不同的方式来进行描述。这里用根据真值表的方式,使用IF语句来编写程序。VHDL程序如下:跳转指令寄存器指定元件的仿真验证(1)打开CPU项目。(2)创建一个原理图文件 新建一个原理图文件,在原理图编辑窗口中按照图5-10-1创建跳转指令寄存器指定的原理图,保存为“f.bdf”。(3)创建一个VHDL文件 新建一个VHDL File文件,在VHDL程序编辑窗口中输入跳转指令寄存器指定的VHDL程序,保存为“V_f.vhd”。(4)编译 将要编译的文件设置为顶层文件,编译。(5)创建波形文件 通过编译后,新建Vector Waveform File文件,将所有的信号节点

5、导入波形图编辑窗口中。对所有信号节点前面有或图标的,按如图9-3所示进行值设置。然后,保存该波形文件为“f.vwf”和“V_f.vwf”。(6)功能仿真 原理图和VHDL最后生成的功能仿真波形图应一样,如图9-3所示。(7)波形分析 由如图9-3所示80.0ns到100.0ns这一时段,CALL为1,REGN为04, WN的值应该为1F(十进制31),图中正好此时段WN的值也为1F。另100.0ns到120.0ns这一时段,CALL为0,REGN为05, WN的值应该为05,图中正好此时段WN的值也为05。因此得证。(8)生成原理图元器件和对应的VHDL定义元件语句。图5-10-3 跳转指令寄

6、存器指定的仿真波形图以下是几个机器指令的逻辑表达式:根据机器指令的可以得到相应的控制信号,举例如下:指令译码是对取指令操作中得到的指令进行译码,确定这条指令需要完成的操作,从而产生相应的控制信号,驱动执行状态中的各种动作,它是控制器的一个重要组成部分。在MIPS指令集中,根据操作码OP和功能码FUNC来区别指令。根据以上的简述列出的20条指令编码,可以得出这20条指令的逻辑表达式如下,其中,OP5.0为操作码OP,FUNC5.0为功能码FUNC,电路符号如图5-15。TYPE=ADD=TYPEFUNC5SUB=TYPEFUNC5FUNC1AND=TYPEFUNC5FUNC2OR=TYPEFUN

7、C5 FUNC2 FUNC0XOR=TYPEFUNC5 FUNC2 FUNC1SLL=TYPESRL=TYPE FUNC1SRA=TYPE FUNC1 FUNC0JR=TYPE FUNC3ADDI=OP3ANDI= OP3 OP2ORI= OP3 OP2 OP0XORI= OP3 OP2 OP1LW= OP5 OP1 OP0SW= OP5 OP3 OP1 OP0BEQ= OP2BNQ= OP2 OP0LUI= OP3 OP2 OP1 OP0J= OP1JAL= OP1 OP0 图5-15指令译码器的电路符号原理图设计指令译码由描述和逻辑关系式创建指令译码器的原理图,如图5-15-1所示:图5-

8、15-1 指令译码器的原理图指令译码的仿真验证(1)打开CPU项目。(2)创建一个原理图文件 新建一个原理图文件,在原理图编辑窗口中按照图5-15-1创建指令译码器的原理图,保存为“instdec.bdf”。(3)编译 将要编译的文件设置为顶层文件,编译。(4)创建波形文件 通过编译后,新建Vector Waveform File文件,将所有的信号节点导入波形图编辑窗口中。对所有信号节点前面有或图标的,按如图9-6所示进行值设置。然后,保存该波形文件为“instdec.vwf”。(6)功能仿真 如图5-15-2所示。(7)波形分析 由如图9-6所示70.0ns到80.0ns这一时段,OP为00

9、0000,FUNC为000011, ADD,SUB,AND,OR,XOR,SLL,SRL,SRA,JR的值应该分别为000000010,图中正好此时段的值也为这样。另120.0ns到130.0ns这一时段,OP为001110,FUNC为110000, ADDI,ORI,XORI,LW,SW,BEQ,BNQ,LUI,J,JAL的值应该分别为00010000000,图中正好此时段的值也正好这样。因此得证。(8)生成原理图元器件和对应的VHDL定义元件语句。图5-15-2 指令译码器的仿真波形图控制部件控制器是计算机中发号施令的部件,它控制计算机的各部件有条不紊地进行工作。具体的讲,控制器的任务是从

10、内存中取出题解步骤加以分析,然后执行某种操作。PCSOURCE是用于标记下一条指令的地址,通过计算得到的下一条指令有下列4种情况PC+4(用于指令存储器的地址是7.2)、分支跳转(BEQ、BNQ指令)、跳转(J指令)、跳转变链接(JAL指令)。CALL(JAL)标记31号寄存器,由于JAL指令要把分支延迟槽下一条指令的指令地址保存在寄存器31号中,故需要设置一个控制信号CALL,当CALL=1时,选择31号寄存器,为JAL跳转指令提供寄存器,当CALL=0时,从32位的寄存器堆中根据地址选择出一个32位的寄存器用于读或写数据。M2REG(LW指令)标记是将运算的结果直接写入寄存器堆,还是将数据

11、存储器的数据取出后写入寄存器堆。ALUC运算器的控制信号,用于选择做何种运算。WMEM数据存储器的读写信号。SHIFT标记移位运算,由于移位运算的运算数需要位数拓展。ALUIMM标记立即数运算,由于有立即数参与运算前需要位数拓展。SEXT标记参加运算的是有符号数。WREG寄存器堆的读写信号。REGRT标记指令中的20.16或15.11中的哪一种作为运算的目标寄存器。它的电路符号如图5-16。可以得出这些控制信号的逻辑表达式如下:CALL=JALPCSOURCE0=(BEQ and Z) or J or JAL or (BNQ and Z)PCSOURCE1=J or JAL or JRM2RE

12、G=LWALUC0=ORI or ANDI or SRA or SRL or SLL or AND or ORALUC1=LUI or XORI or SRA or SRL or SLL or XORALUC2=LUI or BNQ or BEQ or ORI or SRA or SRL or OR or SUBALUC3=SRAWMEM=SWSHIFT=SLL or SRA or SRLALUIMM=ORI or ANDI or XORI or LW or SW or LUI or ADDISEXT=ADDI or LW or SW or BEQ or BNQ or LUIWREG=ADD

13、or SUB or AND or OR or XOR or SLL or SRL or SRA or ADDI or ANDI or ORI or XORI or LW or LUI or JALREGRT=ADDI or ANDI or ORI or XORI or LW or LUI图5-16-1控制器的电路符号原理图设计控制部件由描述、调用instdec元件和逻辑关系式创建控制部件的原理图,如图5-16所示:图5-16 控制器的原理图 VHDL设计控制部件由于VHDL语法的多样性和灵活性。通常可采用不同的方式来进行描述。这里用根据表达式的方式,使用逻辑连接词来编写程序。VHDL程序如下:

14、控制部件的仿真验证(1)打开CPU项目。(2)创建一个原理图文件 新建一个原理图文件,在原理图编辑窗口中按照图5-16-1创建控制部件的原理图,保存为“sc_cu.bdf”。(3)创建一个VHDL文件 新建一个VHDL File文件,在VHDL程序编辑窗口中输入控制部件的VHDL程序,保存为“V_sc_cu.vhd”。(4)编译 将要编译的文件设置为顶层文件,编译。(5)创建波形文件 通过编译后,新建Vector Waveform File文件,将所有的信号节点导入波形图编辑窗口中。对所有信号节点前面有或图标的,按如图5-16-1所示进行值设置。然后,保存该波形文件为“sc_cu.vwf”和“

15、V_sc_cu.vwf”。(6)功能仿真 原理图和VHDL最后生成的功能仿真波形图应一样,如图5-16-1所示。(7)波形分析 由如图9-9所示80.0ns到90.0ns这一时段,OP为08,FUNC为09,Z为0,据表达式可得PCSOURCE,SEXT,SHIFT,WMEM,WREG,CALL,ALUC的值应该分别为0,1,0,0,1,0,0。图中正好此时段的值也正好这样。因此得证。(8)生成原理图元器件和对应的VHDL定义元件语句。图5-16-1 控制器的波形仿真图指令存储器指令存储器是用于在计算机中保存指令。在本节中使用LPM_ROM来实现,它能保存64条指令字。如图5-17所示。指令存

16、储器输入:A31.0(指令地址),实际使用的地址为A7.2。指令的输出信号:DO31.0。指令存储器的元件符号如图5-17所示。真值表如表2所示,DO表示在指令数据文件中地址A对应的指令数据。表9-2 指令存储器的真值表输入CLK上降沿下升沿A31.0XA输出DO31.0保持不变DO图5-17 指令存储器的电路符号原理图设计指令寄存器1.创建加法指令数据文件执行菜单“File”“New”,或在工具栏中单击图标,弹出如图5-17-1所示的“New”对话框。在此对话框的“Memory Files”项中选择“Memory Initialization File”,在单击“OK”按钮,弹出如图5-17

17、-2所示的对话框,按如图所示的设置后,单击“OK”按钮。在如图所示的对话框中输入相应的数据,保存。图5-17-1 存储数据文件设置对话框图5-17-2存储数据文件2. lpm_rom宏模块的设置(1)创建一个原理图文件 新建一个原理图文件,在原理图编辑窗口的空白处右键单击在弹出的菜单中选择“Insert”中的”Symbol”,弹出元件输入对话框,在“Name”栏中输入lpm_rom,单击OK按钮,弹出如图5-17-3所示对话框。图5-17-3 设定输出文件(2)在如图5-17-3所示的对话框中,上方的一组单选按钮中选择VHDL,因为我们后续的VHDL程序中可能会用到。然后,第一个文本框中是本次

18、宏模块设置生成的文件存放路径和文件名(注意:路径建议不要改动,只修改后面的lpm_rom)。最后单击“Next ”,弹出如图5-17-4所示的对话框。图5-17-4 设置选择器是多少位的几选1选择器(3)在如图5-17-4所示的对话框中,按照图上的提示进行设置,这里设置成的是一个存储大小为64位的指令存储器。然后,单击“Next ”按钮,弹出如图5-17-5所示的对话框,直接单击“Next ”按钮,弹出如图5-17-6所示的对话框,按照图上的提示进行设置。然后,单击“Next ”按钮,弹出如图5-17-7所示的对话框,单击“Finish”按钮,弹出如图5-17-8所示的对话框,单击“Yes”按

19、钮,即可完成lpm_rom宏模块的设置。在后续的原理图和VHDL程序设计中就可调用该元件了。图5-17-8 是否将本机IP添加到元件文件中,来唯一标记元件图5-17-5 显示仿真所需文件图5-17-6 设置存储数据文件对话框图5-17-7 显示将创建的文件3.调用lpm_rom宏设计出指令存储器图5-17-9 指令存储器的原理图VHDL设计指令寄存器由于在lpm_rom宏设计时,可以在项目工程下找到一个V_lpm_rom_inst.vhd的VHDL文件,可以根据该文件的设置,对一些属性配置作修改后,得到指令寄存器的VHDL文件V_rom.vhd。它的程序如下:指令寄存器的仿真验证(1)打开CP

20、U项目。(2)创建一个原理图文件 新建一个原理图文件,在原理图编辑窗口中先创建加法指令数据文件。然后,进行lpm_rom宏设置,最后,按照图5-17-9创建指令寄存器的原理图,保存为“inst_mem.bdf”。(3)编译 将要编译的文件设置为顶层文件,编译。(4)创建波形文件 通过编译后,新建Vector Waveform File文件,将所有的信号节点导入波形图编辑窗口中。对所有信号节点前面有或图标的,按如图5-17-10所示进行值设置。然后,保存该波形文件为“inst_mem.vwf”。(5)功能仿真 如图5-17-10所示。(6)波形分析 由如图5-17-10所示10.0ns到20.0

21、ns这一时段,A为00000004,CLK在10.0ns时刻有一个下降沿,故此时刻DO的值应变为地址00000004对应的指令数据为3C010000,然后,直到20.0ns时刻之前都是上升沿,故值一直保持不变为3C010000,直到20.0ns时刻来了一个下降沿,DO的值应变为地址00000008对应的指令数据为34240050。图中此时段正好也为这样。因此得证。(7)生成原理图元器件和对应的VHDL定义元件语句。图5-17-10 指令寄存器的波形仿真图数据存储器数据存储器是用于在计算机中读出或写入数据。在本节中使用LPM_RAM_DQ来实现,它能保存32条32位数据。数据存储器输入:WE 读

22、写控制信号,A31.0(指令地址),实际使用的地址为A6.2,DI要写入的数据,CLK读写控制信号,MEMCLK时钟信号。数据的输出信号:DO31.0。数据存储器的元件符号如图5-18所示。真值表如表3所示,“”表示上升沿,“”表示下降沿。图5-18 数据存储器的电路符号表3 数据存储器的真值表输入WE0011XXA31.0AAAAAADI31.0XXDIDIXXCLKXX0011MEMCLK输出DO31.0DO不变DI不变DO不变原理图设计数据存储器1.创建加法指令数据文件图5-18-1存储数据文件设置对话框图5-18-2存储数据文件2. lpm_ram_dq宏模块的设置(1)创建一个原理图

23、文件 新建一个原理图文件,在原理图编辑窗口的空白处右键单击在弹出的菜单中选择“Insert”中的”Symbol”,弹出元件输入对话框,在“Name”栏中输入lpm_ram_dq,单击OK按钮,弹出如图5-1-38所示对话框。图5-18-3 设定输出文件(2)在如图5-18-3所示的对话框中,上方的一组单选按钮中选择VHDL,因为我们后续的VHDL程序中可能会用到。然后,第一个文本框中是本次宏模块设置生成的文件存放路径和文件名(注意:路径建议不要改动,只修改后面的lpm_ram_dq)。最后单击“Next ”,弹出如图5-18-4所示的对话框。图5-18-4 设置选择器是多少位的几选1选择器(3

24、)在如图5-18-4所示的对话框中,按照图上的提示进行设置,这里设置成的是一个存储大小为32位的数据存储器。然后,单击“Next ”按钮,弹出如图5-18-5所示的对话框,直接单击“Next ”按钮,弹出如图5-18-6所示的对话框,按照图上的提示进行设置。然后,单击“Next ”按钮,弹出如图5-18-7所示的对话框,单击“Next ”按钮,弹出如图5-18-8所示的对话框,单击“Finish”按钮,即可完成lpm_ram_dq宏模块的设置。在后续的原理图和VHDL程序设计中就可调用该元件了。图5-18-5显示仿真所需文件图5-18-6 设置存储数据文件对话框图5-18-7生成的EDA元件图

25、5-18-8 显示将创建的文件3.调用lpm_ram_dq宏设计出数据存储器图5-18-9 数据存储器的原理图VHDL设计数据存储器由于在lpm_ram_dq宏设计时,可以在项目工程下找到一个V_lpm_ram_dq_data.vhd的VHDL文件,可以根据该文件的设置,对一些属性配置作修改后,得到指令寄存器的VHDL文件V_ram.vhd。它的程序如下:数据存储器的仿真验证(1)打开CPU项目。(2)创建一个原理图文件 新建一个原理图文件,在原理图编辑窗口中先创建加法数据数据文件。然后,进行lpm_ram_dq宏设置,最后,按照图5-18-9创建数据寄存器的原理图,保存为“data_mem.

26、bdf”。(3)编译 将要编译的文件设置为顶层文件,编译。(4)创建波形文件 通过编译后,新建Vector Waveform File文件,将所有的信号节点导入波形图编辑窗口中。对所有信号节点前面有或图标的,按如图5-18-10所示进行值设置。然后,保存该波形文件为“data_mem.vwf”。(5)功能仿真 如图5-18-10所示。(6)波形分析 由如图5-18-10所示,20.0ns这一时刻,A为00000060,CLK为0,WE为0,则为读信号。MEMCLK此时刻有一个上升沿,故读出地址A对应的数据DO为000000A3。30.0ns这一时刻,A为00000000,DI为00000300

27、,CLK为0,WE为1,则为写信号。MEMCLK此时刻有一个上升沿,故将DI数据写入到地址A对应的位置DO为00000300。图中正好也为这样,只是整体有一个周期的时延。因此得证。(7)生成原理图元器件和对应的VHDL定义元件语句。图5-18-10 数据寄存器的波形仿真图CPU由于CPU的设计与实现是离不开存储器的,因为CPU要从指令存储器中得到指令,从数据存储器中的得到用于计算的数据。故本节将为CPU加上指令存储器和数据存储器,也就是这里说的主机系统。主机系统的元件符号如图5-19所示。图5-19 主机系统的电路图原理图设计计算机主机系统分析CPU的设计的描述及各端口的描述,不难得出如图5-

28、19-1所示的主机系统的原理图。图5-19-1主机系统的原理图VHDL设计计算机主机系统由于VHDL语法的多样性和灵活性。这里用根据原理图的方式,使用结构化的顶层设计方式来编写程序。主机系统的VHDL程序如下: 调试程序编制及主机系统的调试加法调试程序在这里做一个加法163+39+121+277=600,16进制表示为:000000A3+00000027+00000079+00000115=258。它的汇编代码如下图5-19-2所示,数据如图9-38所示。由于在指令存储器和数据存储器章节以运用了该加法程序,它的数据文件的建立请参照那两节。图5-19-2 加法的汇编代码图5-19-3加法的数据加

29、法程序调试仿真(1)打开CPU项目。(2)创建一个原理图文件 新建一个原理图文件,在原理图编辑窗口中按照图5-19-1创建主机系统的原理图,保存为“sc_comp.bdf”。(3)创建一个VHDL文件 新建一个VHDL File文件,在VHDL程序编辑窗口中输入主机系统的VHDL程序,保存为“V_sc_comp.vhd”。(4)编译 将要编译的文件设置为顶层文件,编译。(5)创建波形文件 通过编译后,新建Vector Waveform File文件,将所有的信号节点导入波形图编辑窗口中。对所有信号节点前面有或图标的,按如图5-19-3所示进行值设置。然后,保存该波形文件为“sc_comp.vw

30、f”和“V_sc_comp.vwf”。(6)功能仿真 原理图和VHDL最后生成的功能仿真波形图应一样,如图5-19-3所示。并按照如图5-19-3所示进行仿真生成的数据存储文件的保存。(7)波形分析 由如图5-19-3,inCLOCK在下降沿时,从指令存储器中取出指令。MEMCLK在上升沿时,可对数据存储器进行读写操作。RESETN为置0信号。CLOCK为CPU的时钟信号,数据存储器的读写控制信号。所示0.0ns到20.0ns这一时段,经过CPU的运算得到PC指令存储器的输入地址为00000000,ALUOUT数据存储器的输入地址00000000,则在指令存储器中取出的指令INSTR为3C01

31、0000,读出的数据MEMOUT为000000A3。图中正好也是这样。因此得证。最后仿真生成的数据存储文件要与图5-19-4所示一致,才表示仿真正确。(8)生成原理图元器件和对应的VHDL定义元件语句。图5-19-3 主机系统的加法仿真波形图图5-19-4 主机系统的加法仿真生成数据存储文件乘法调试程序在这里做一个乘法51630*63205=3263274150,16进制表示为:0000C9AE*0000F6E5=C2819CA6。它的汇编代码如下图5-19-5所示,数据如图5-19-6所示。参照加法建立的指令存储文件如图5-19-7所示,数据存储文件如图5-19-8所示。图5-19-5 乘法

32、的汇编代码图5-19-6 乘法的数据图5-19-7 乘法的指令数据文件图5-19-8 乘法的数据文件由加法而产生的lpm_rom_inst和lpm_ram_dq_data相关文件,在做乘法时,只需要将lpm_rom_inst.vhdl中的(init_file = inst_mem.mif,)改为(init_file = inst_mem_mul.mif,),保存即可。lpm_ram_dq_data.vhdl中的(init_file = data_mem.mif,)改为(init_file = data_mem_mul.mif,),保存即可。乘法程序调试仿真(1)打开CPU项目。(2)打开波形文

33、件 “sc_comp.vwf”和“V_sc_comp.vwf”。(3)重新功能仿真,原理图和VHDL最后生成的功能仿真波形图一样,如图5-19-9所示。(4)波形分析 由如图5-19-9,inCLOCK在下降沿时,从指令存储器中取出指令。MEMCLK在上升沿时,可对数据存储器进行读写操作。RESETN为置0信号。CLOCK为CPU的时钟信号,数据存储器的读写控制信号。所示0.0ns到20.0ns这一时段,经过CPU的运算得到PC指令存储器的输入地址为00000000,ALUOUT数据存储器的输入地址00000000,则在指令存储器中取出的指令INSTR为3C010000,读出的数据MEMOUT

34、为0000C9AE。图中正好也是这样。因此得证。最后仿真生成的数据存储文件要与图5-19-10所示一致,才表示仿真正确。(5)生成原理图元器件和对应的VHDL定义元件语句。图5-19-9 主机系统的加法仿真波形图保存仿真生成的数据存储文件对话框图5-19-10仿真生成的保存的数据文件附上VDHL语言做的元件1.V_add82.V_add323.V_alu4.array32x325. V_dec5e6. V_dffe87. V_dffe328.V_mux2x19. V_mux2x510. V_mux2x811. V_mux2x3212.V_mux4x3213. V_mux32x3214. V_r

35、eg32x3215. V_regFile32x3216. V_shift17. V_zero实验结论1. 通过实验的综合训练,培养了我们的分析问题和解决问题的能力,使我们掌握整机概念,同时进行计算机系统部件的EDA设计,即借助于EDA工具软件QuartusII完成系统部件逻辑设计,以帮助我们系统的掌握计算机组成原理这门课程的主要内容,为以后进一步学习计算机体系结构打下一个良好的基础。2. 通过学习,我们可以深入理解基本模型计算机的功能、组成知识,深入学习计算机各类典型指令的执行流程,学习硬布线控制器的设计过程和相关技术,掌握LPM_ROM的配置方法,在掌握部件单元电路实验的基础上,进一步将单元

36、电路组成系统,构造一台基本模型计算机;定义20条MIPS指令集的典型指令,并编写相应的汇编程序,能在模型机上调试,掌握计算机整机概念;通过熟悉较完整的计算机的设计,全面了解并掌握硬布线控制方式计算机的设计方法,真正理解利用软件进行硬件设计的方法和技巧。3. 通过QuartusII软件在计算机上进行计算机系统部件设计,我们可以采用不同的设计方案,选用软件库中的一般器件或者自行设计的专用器件,而这些器件实验室往往又无法提供,通过计算机仿真的课程设计结果使我们学会从不同角度思考同一个问题,提出不同的解决方案,从而提高我们思考实际问题的能力。4. 利用计算机组成原理课程实验中的各个部件单元实验,结合EDA技术,运用原理图或VHDL语言设计完整的单周期中央处理器CPU,再构建计算机整机,能运行所给的汇编程序,在存储器对应单元中看到相应结果。5. 在利用原理图设计单周期中央处理器CPU时,根据老师所给的课程设计指导书,我顺利的完成的CPU的三大主要部件:控制器,数据寄存器,指令寄存器,同时也利用VHDL语言进行了设计。在进行仿真时无法得到正确的结果,通过一步步的检查,最后发现是数据寄存器封装是数据和地址的顺序颠倒所致,经改正后在进行仿真得到正确的结果。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 建筑/施工/环境 > 项目建议


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号