flash简易计算器制作.docx

上传人:牧羊曲112 文档编号:3157239 上传时间:2023-03-11 格式:DOCX 页数:3 大小:37.27KB
返回 下载 相关 举报
flash简易计算器制作.docx_第1页
第1页 / 共3页
flash简易计算器制作.docx_第2页
第2页 / 共3页
flash简易计算器制作.docx_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

《flash简易计算器制作.docx》由会员分享,可在线阅读,更多相关《flash简易计算器制作.docx(3页珍藏版)》请在三一办公上搜索。

1、flash简易计算器制作Flash简易计算器教程 步骤: 新建一个文档 按CTRL+F8,新建一个按钮元件,命名为“0“ 用矩形工具在”弹起“针画个白色的矩形,再使用文本工具在矩形上输入”0“ 在”指针经过“针按F6,插入关键针,把白色的矩形改成黄色的矩形, 同样新建9个按钮,分别命名为”1“到”9“,再分别制作九个按钮,分别命名为C,CE,Decimal,Equals,Minus,Mplus,MRC,Multipy,Plus (6)在主场景中,在最上面用文本工具添加一个动态文本框,”变量“为display. (7)从库面版里把所有按钮都拖到”图层1“的第一针中,按计算器样式排列,并在文本框中

2、输入0。 在”场景1“中,单击”0“元件,在动作面版输入如下句子: on (release) jisuanqi(0); /单击数字按钮,执行针脚本中的 jisuanqi函数,显示相应数字0 分别点击其他的1-9按钮,在上面写上和上面一样的代码,只是把0改成相应的数字。 在场景1里,单击”Divide“,在动作面版写上 on(release) setO(/); (10)单击Multiply元件,在动作面版写上 on(release) setO(/*); (11)单击Minus元件,写上: on(release) setO(-); (12)单击Plus,写上: on(release) setO(+

3、); 说明:以上函数是说:单击按钮的时候执行相应运算。 (13)单击Equals,写上: on(release) setO; 单击Decimal,写上: on (release) if (!decimal) jisuanqi(.); decimal = true; 单击Mplus,写上: on(release) memory=memory+Number(display); /单击时候,存储器的值会累加; 单击MRC,写上: on (releas) display = memory; memory = 0; clear = true; /把存储器的值显示到显示屏里,然后清0 单击C,写上 on

4、(release) display = 0; decimal = false; /单击C按钮,清屏,显示0 单击CE,写上 on (release) operand1 = false; display = 0; operator = ; clear = false; decimal = false; /清除所有操作,计算器变量复位 在场景1的图层一的第一针上单击,在动作面板下上 memory = 0; sp = 0; display = 0; stop; /初始化计数器变量 function jisuanqi(digit) if (clear) clear = false; decimal =

5、 false; display = 0; if (display = 0 and digit != .) display = digit; else display = display+digit; /添加计数器到显示屏 function setO(newOper) if (operator = +) display = Number(operand1)+Number(display); if (operator = -) display = operand1-display; if (operator = *) display = operand1*display; if (operator = /) display = operand1/display; operator = =; clear = true; decimal = false; if (newOper != null) operator = newOper; operand1 = display; /根据传递的运算符,进行运算。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号