东大模电实验增益自动切换的放大电路设计.docx

上传人:小飞机 文档编号:3210509 上传时间:2023-03-11 格式:DOCX 页数:8 大小:39.30KB
返回 下载 相关 举报
东大模电实验增益自动切换的放大电路设计.docx_第1页
第1页 / 共8页
东大模电实验增益自动切换的放大电路设计.docx_第2页
第2页 / 共8页
东大模电实验增益自动切换的放大电路设计.docx_第3页
第3页 / 共8页
东大模电实验增益自动切换的放大电路设计.docx_第4页
第4页 / 共8页
东大模电实验增益自动切换的放大电路设计.docx_第5页
第5页 / 共8页
亲,该文档总共8页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《东大模电实验增益自动切换的放大电路设计.docx》由会员分享,可在线阅读,更多相关《东大模电实验增益自动切换的放大电路设计.docx(8页珍藏版)》请在三一办公上搜索。

1、东大模电实验增益自动切换的放大电路设计东南大学电工电子实验中心 实 验 报 告 课程名称: 电子线路实践 第 二 次实验 实验名称: 院 : 增益自动切换电压放大电路的设计 专业: 姓 名: 学号: 实 验 室: 实验组别: 同组人员: 评定成绩: 实验时间: 审阅教师: 实验二 增益自动切换电压放大电路的设计 一、实验内容及要求 设计一个电压放大电路,能够根据输入信号幅值自动切换调整增益。设输入信号频率为 020KHz,其幅值范围为 0.110V。电路应实现的功能与技术指标如下: 1. 基本要求 当输入为直流信号时,要求设计的电路达到以下要求: (1) 当Ui 0.5V 时,电路的增益约为

2、10 倍。 (2) 当 0.5Ui 3V 时,电路的增益约为 1 倍。 (3) 当 3Ui 10V 时,电路的增益约为 0.1 倍。 2. 提高要求 当输入为交流信号时,根据输入电压的峰值大小,电路增益仍满足基本要求。 3. 发挥部分 对输入电压值分档再细化; 增益值的显示。 分析项目的功能与性能指标: 本设计中电路要实现根据输入信号的幅值自动切换调整增益的功能。基础部分中, 当Ui 0.5V 时,电路的增益约为 10 倍;当 0.5Ui 3V 时,电路的增益约为 1 倍; 当 3Ui 10V 时,电路的增益约为 0.1 倍。提高部分中,输入为交流信号,根据输入 电压的峰值大小,电路的增益仍满

3、足基本要求。在本设计中,增益自动切换的临界值应 尽量接近要求的值 0.5 和 3,而且增益的倍数也应分别无限接近 10、1、0.1。 二、电路设计 (1) 电路设计思想: 基本要求:将直流信号加到具有不同基准电压的比较电路输入端进行分档比较,对 应某一输入电压值,只有相应的一个比较电路输出为高电平,其余比较器 输出为低电平。根据比较器的输出状态,通过模拟开关的控制,选择相应 的增益。 提高要求:可以先采用峰值取样或整流滤波电路对交流信号进行处理,再将处理后 得到的交流信号峰值按基本要求进行处理。 (2) 电路结构框图: 基本要求: 比较分档电路 模拟开关 增益放大电路 提高要求: 峰值取样电路

4、 比较分档电路 模拟开关 增益放大电路 (3) 电路原理图: 基础要求: 提高要求: (4) 系统工作原理: 基础要求:将直流信号加到基准电压分别为 3V 和 0.5V 的比较电路输入端进行分档比较, 对应某一输入电压值,相应的比较电路输出为高电平或低电平,将比较器的输出状态通 过模拟开关的控制,选择不同的增益电路,从而实现增益的自动切换。 提高部分:采用峰值采样电路,先将交流信号输入峰值采样电路,再将输出的峰值加到 比较电路输入端进行分档比较,其他同上。 (5) 参数计算和元器件选择说明: 基础要求:放大电路电阻:R8=10k,R6=100k,R5=10k,R7=1k. 分压电阻:要满足分压

5、分别为 3V 和 0.5V,则设置 Rw=90k,R5=25k, R6=5k,可满足上述要求。 提高要求:峰值采样电路:IN4018 二极管、10nF 电容 放大电路电阻及分压电阻同基础部分。 (6) 列出系统需要的元器件清单: 基础要求: 元器件名称 运算放大器 模 拟 开 关 CD4052 电阻 型号及数量 4 运算放大器 1 90 k 25 k 5 k 10k 1k 100k * 1 * 1 * 1 * 4 *3 *1 提高部分: 元器件名称 模 拟 开 关 CD4052 电阻 电容 二极管 型号及数量 4 1 90 k 25 k 5 k 10k 4 1k 100k * 1 10nF *

6、 1 * 1 * *3 *1 IN4018 (7) 电路的仿真结果: 基础要求: 1)输入 0.3V 直流信号: 2)输入 1.5V 直流信号: 3)输入 4V 直流信号: 提高要求: 1)输入 10KHz、峰值 0.1V 交流信号: 2)输入 10KHz、峰值 1.5V 交流信号: 3)输入 10KHz、峰值 4V 交流信号: 三、硬件电路功能与指标,测试数据与误差分析 (1) 硬件实物图: (2) 制定实验测量方案: 按照电路原理图搭出电路,接入函数发生器,用以产生交流信号,输出接到示波器 上,用以观察输出波形。根据要求进行调试。 (3) 使用的主要仪器和仪表: 数字示波器,函数信号发生器

7、,直流稳压电源,数字万用表 (4) 调试电路的方法和技巧: 首先测试峰值取样电路,将峰值取样的结果输入到示波器中,观察其近似为直流 分量,且其约等于交流信号的峰值。再测试比较电路,输入不同的值,测其输出使结果 满足要求。最后对整个电路进行调试。 (5) 测试的数据和波形并与设计结果比较分析: 输入 10KHz、峰值 0.2V 的交流信号: 输入 10KHz、峰值 2.6V 的交流信号: 10KHz、峰值 3.5V 的交流信号: 分析:由上述结果可以看出,电路是存在一定误差的,但是基本上实现了电路要求的 功能。 (6) 调试中出现的故障、原因及排除方法: 在实验中当比较器输出为负电压时,直接接到

8、 4052 的选择端会损坏放大器,根据 观察,发现会将放大器的输出强行拉到-1V 左右,而放大器开路输出为负-13V 左右,经 过一段时间以后放大器会过热,模拟开关也可能损坏。加上一个限流电阻可以解决问题, 不会损坏放大器和模拟开关。 实验中的数据与模拟的有很大不同。整流的电容电压不等于峰值,与峰值的关系也 不是线性变化的,所以增益变化点与模拟的结果差距很大,于是实际测量了不同峰峰值 下的电容电压,并以此为基准,改变分压大小,才使增益变化点接近要求,最终是靠调 变阻器达到较高的精度的。 四、总结 (1) 阐述设计中遇到的问题、原因分析及解决方法: 问题:怎样对交流信号进行峰值取样? 解决方法:

9、采用二极管以及电容构成最简 单的峰值取样电路,满足实验的要求。 (2) 总结设计电路和方案的优缺点: 优点:采用反向增益电路,可避免无法产生 0.1 倍的增益的问题,只需再加以反向 器即可;同时使用二极管和电容来实现峰值取样,非常简单且实用。 缺点:采用二极管和电容来实现峰值取样有一定的误差,会使临界值发生偏移,从 而不符合实验的要求。 (3) 指出课题的核心及实用价值,提出改进意见和展望: 本课题的核心为增益自动切换,这在许多方面都有应用。希望可以加上更多的功能, 来实现更多的功能。 (4) 实验的收获和体会: 通过本次实验,加深了对运算放大器的理解,学会了使用运算放大器设计简单的电 路,了解了怎样对交流信号进行峰值取样,提高了动手实践的能力。 五、参考文献

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号