四舍五入判别电路.docx

上传人:牧羊曲112 文档编号:3373021 上传时间:2023-03-12 格式:DOCX 页数:2 大小:36.57KB
返回 下载 相关 举报
四舍五入判别电路.docx_第1页
第1页 / 共2页
四舍五入判别电路.docx_第2页
第2页 / 共2页
亲,该文档总共2页,全部预览完了,如果喜欢就下载吧!
资源描述

《四舍五入判别电路.docx》由会员分享,可在线阅读,更多相关《四舍五入判别电路.docx(2页珍藏版)》请在三一办公上搜索。

1、四舍五入判别电路.四舍五入判别电路 设计一个四舍五入判别电路,其输入为8421BCD码,要求当输大于或等于5时,判别电路输出为1,反之为0。 参考原理图: 四舍五入判别电路 AHDL硬件描述语言输入 SUBDESIGN t3_1 ( d0,d1,d2,d3:INPUT; out: OUTPUT; ) BEGIN IF( (d3,d2,d1,d0) = 5 ) THEN out=VCC; ELSE out=GND; END IF; END; 优先权排队电路 设计一个优先权排队电路,其框图如下: 排队顺序: A=1 B=1 C=1 最高优先级 次高优先级 最低优先级 要求输出端最高只能有一端为“1

2、”,即只能是优先级较高的输入端所对应的输出端为“1”。 参考原理图: 优先权排队电路 AHDL文本源程序 SUBDESIGN t3_3 ( a,b,c:INPUT; a_out,b_out,c_out: OUTPUT; ) BEGIN IF a THEN a_out=VCC; b_out=GND; c_out=GND; ELSIF b THEN a_out=GND; b_out=VCC; c_out=GND; ELSIF c THEN a_out=GND; b_out=GND; c_out=VCC; ELSE a_out=GND; b_out=GND; c_out=GND; END IF; END; 报告要求: 1、采用原理图输入法和文本输入法编程 2、详细论述实验步骤 3、要有实验波形图。 4、设计输入法的优劣心得。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号