多功能电子琴毕业论文.docx

上传人:牧羊曲112 文档编号:3391189 上传时间:2023-03-12 格式:DOCX 页数:48 大小:59.29KB
返回 下载 相关 举报
多功能电子琴毕业论文.docx_第1页
第1页 / 共48页
多功能电子琴毕业论文.docx_第2页
第2页 / 共48页
多功能电子琴毕业论文.docx_第3页
第3页 / 共48页
多功能电子琴毕业论文.docx_第4页
第4页 / 共48页
多功能电子琴毕业论文.docx_第5页
第5页 / 共48页
亲,该文档总共48页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《多功能电子琴毕业论文.docx》由会员分享,可在线阅读,更多相关《多功能电子琴毕业论文.docx(48页珍藏版)》请在三一办公上搜索。

1、多功能电子琴毕业论文 基于FPGA多功能 电子琴的设计 专业 电气工程系 班 级 智能电子092 学生姓名 指导老师 二一一年十二月设计任务书 一 、课题名称:基于FPGA的多功能电子琴设计 二 、指导老师: 三 、设计内容与要求 1、课题概述 本课题拟采用FPGA器件设计一个电子琴,具有手动弹奏与自动演奏两种功能,其中手动弹奏时还支持录音回放。该设计具有集成度高、性能稳定可靠、保密性高、支持乐曲更新等特点,具有很好的趣味性和实用性,旨在提高学生的小型电子产品设计和开发能力及EDA技术的应用能力。 2、设计内容与要求 设计内容: 1)绘制电子琴的系统框图,确定设计方案; 2)了解电路所需芯片的

2、功能、参数和工作原理; 3)采用protel完成电子琴的原理图绘制; 4)采用VHDL语言和原理图输入完成软件设计; 5)采用QuartusII软件完成编译、仿真、下载; 6)完成电子琴的硬件设计与制作; 7)调试并实现电子琴功能。 设计功能要求: 设计一个电子琴,支持手动弹奏、自动演奏、弹奏回放等功能,具体要求如下: 可通过8个音符键产生8个频率,对应8个音符,这些频率输出经放大后驱动喇叭,发出声音。当按下手动弹奏键时,按下音符键后就选通相应的频率输出,若同时打开录音开关,可将所奏音乐记录下来,然后在关掉录音开关后,按下回放键可实现演奏音乐回放;按下自动演奏键时,存储器里事先编写好的音符信息

3、被依次取出,去选通各个频率输出,实现自动奏乐。 毕业设计 摘 要 电子琴系统应用FPGA器件,在QUARTUS软件进行VHDL编程,实现乐曲的演奏功能。电子琴的基本原理是产生各个音符对应的频率,将内部频率分频后音频送到蜂鸣器发出音响。该电子琴包括手动弹奏、自动演奏和录音回放三种功能。文中叙述了电子琴的设计原理和分模块电路的实现方法,介绍各模块的设计及模块之间的连接组合方法,并在基于FPGA技术描述语言VHDL语言的在Altera公司cyclone系列的 EP1C3T100CN8芯片上编程下载,实现功能. 电子琴系统的设计包含三个主模块电路,分别是键盘控制输入电路、FPGA开发板主板电路、扬声器

4、和数码管显示电路。其中FPGA模块的设计是整个电子琴系统设计的核心内容。三个模块电路的有机组合完成了电子琴手动弹奏与自动演奏,录音回放的功能。本产品的特点是成本较低,性能稳定,精度高,有一定的开发价值。 文中叙述了利用VHDL设计的电子琴演奏系统的设计思路和分模块实现的方法,详细介绍了各模块的设计方法。 关键词: FPGA ; 电子琴 ; VHDL; QUARTUS 毕业设计 Abstract An electronic piano is designed based on FPGA, which consists of core parts that designed by using VH

5、DL hardware description languages, as well as some periphery circuits. The musical performance can be done ,not only by keys but also with automatic type in the electronic piano. The article describes the design and implementation of the blocks, and describe VHDL language use FPGA technical at alter

6、a cyclone in the series of ep1c3t100n8 chip in programming. Electronic piano system design contains three main parts: keyboard control over the circuit, the circuit shown in the circuit. Fpga module of the design of system design the electronic organs are core contents of three modules. the organic

7、combination of the circuit through the organ played with automatic hand, the function. this product is characterized by low cost, performance, high precision, a development. The design of quartus ii software the language. the main storage design vhdl module and pressed the button control points, the

8、 frequency of the principle of the audio frequency design, make notes in written form to ram the memory socket, with a hardware means to achieve this effect. Keyword: FPGA Electronic Piano VHDL Quartus II 毕业设计 目 录 摘 要 . I Abstract . 第1章 绪论 . 1 1.1研究背景 . 1 1.2 研究目的和意义 . 2 第2章 方案总体设计与论证. 3 2.1总体设计 . 3

9、 2.2 方案设计与选择 . 3 2.2.1采用数字逻辑电路制作 . 3 2.2.2采用现场可编程逻辑门阵列器件制作 . 3 3.2.3 采用单片机制作 . 4 2.3设计方案的确定 . 4 第3章 硬件电路设计 . 5 3.1设计原理分析 . 5 3.2电路设计 . 5 3.3 FPGA概述 . 6 3.3.1 FPGA的基本结构 . 8 3.4 FPGA器件芯片介绍 . 8 3.5原理图 . 9 第4章 软件电路设计 . 10 4.1 VHDL语言介绍 . 10 4.1.1 VHDL语言特点 . 10 4.1.2 VHDL语言优势 . 11 4.2 Quartus II软件介绍 . 11

10、4.2.1 Quartus II软件设计流程 . 12 4.3 程序模块设计 . 13 4.3.1 顶层文件原理图 . 14 4.3.2 按键扫描与模式控制模块 . 15 4.3.3 录音模块 . 16 4.3.4自动播放模块 . 16 4.3.5三选一模块 . 17 毕业设计 4.3.6音符转换为频率模块 . 18 4.3.7分频模块 . 19 4.3.8数码管显示模块 . 19 第5章 调试 . 21 5.1 系统调试 . 21 5.2调试步骤与现象 . 21 结 论 . 23 致 谢 . 24 参考文献 . 25 附录 . 26 附录A: . 26 附录B. 27 附录C. 27 毕业设

11、计 第1章 绪论 1.1研究背景 自电子产品诞生之日起,电子产品开发流程和方法就随着电子元器件的不断演进而变化,从最早的电子管器件到晶体管再到集成电路,再到软件设计电子电路。总的来说贯穿电子设计的统一思路是:使用印刷电路板上的分立、现成元件、连接器或 IC 创建物理平台实现所需要的功能。例如,在XX年代,如果要设计一个收音机,工程师必须通过在PCB板上通过晶体管、电阻、电容、电感、电线、滤波器、二极管等电路搭建出一个物理平台,实现对 RF 信号的调谐、滤波、放大等,最后实现收音机的功能。集成电路出现以后,一些分立器件被集成到一块芯片上,但是总的设计思路没有变化,还是要在一个PCB板上通过无源器

12、件和 IC 搭建出一个物理平台,实现信号的接收、处理和输出。 但是,随着 FPGA 等可编程器件的诞生,设计思路正发生着微妙的变化随着更多功能从分立器件移到可编程领域,各种不同的设计流程交汇到了一起。 现在,有效的电子设计是将板卡设计、可编程逻辑设计和软件开发融合在一起,未来,随着FPGA融合处理、存储于一体,板卡设计将融合进可编程逻辑设计中,电子产品设计将演变为可编程逻辑设计和嵌入式软件设计,那时,电子设计将更体现一种“软”设计,一种通过开发语言和工具实现的设计,而FPGA将成为这种“软”设计的载体,以 FPGA 形式存在的低成本、大规模可编程器件可以随时随地获得,这使设计者有可能将所有系统

13、核心功能都转移到软设计中,并利用这种设计的优势,可完成各种电子设计,并且在资金投入可以大大减少。 它的突出优点是:第一,仅占用FPGA中很少的资源,因此成本低,甚至不增加成本。这是因为某个产品选定某型号FPGA芯片,只用了其中一部分资源,还有相当一部分资源闲置没用;第二,更改乐曲非常方便;第三,可作为IP core实现设计重用。 另外,近些年,在电子产品的设计方面,我们可以看到基于FPGA器件的电子产品已经越来越多了。我们乐曲都是由一连串的音符组成,因此按照乐曲的乐谱依次输出这些音符所对应的频率,就可以在扬声器上连续地发出各个音符的音调。大多数的电子琴设计都有弹奏和播放功能,但能自动对弹奏的乐

14、曲进行录音并可改变回放快慢可调的设计却很少。要实现录音和回放功能,就必须将弹奏的音符值在相应的写控制信号控制下存储到FPGA芯片内部的随机存储器(RAM)中,而在相应的读控制信号控制下,将RAM中存储的音符值读出来,送给相应的发声控制等模块。进入20 世纪90 年1 毕业设计 代以后,EDA 技术得到了飞速的发展,电子系统的设计方法发生了很大的变化,传统的设计方法正逐步退出历史舞台,而基于EDA 技术的可编程逻辑芯片设计成为电子系统设计的主流。本设计基于Quartus开发平台,采用VHDL语言在FPGA芯片上成功地实现了电子琴手动弹奏、自动演奏和动态录音与回放功能。 1.2 研究目的和意义 我

15、们知道电子琴,以键盘形态出现的一种乐器。由音色、自动节奏,自动和弦三大部分组成。 电子琴的演奏有较大一部分是通过自动和弦伴奏来配合完成的,在音乐中和弦的连接推动了旋律地进行,不同的和声连接,形成了不同的音乐色彩。 我们常见的电子琴是普及型的,或业余型的。事实上,还有专业型的电子琴:立式,上面两排手键盘,下面一排大号的双脚掌或和脚跟弹的键盘,还有一两个踏板和一个膝盖靠垫。 家用的电子琴属中低端的“编曲键盘”。中高端的编曲键盘在现代的流行乐队里起着重要作用。而侧重于音乐制作的电子琴类成员叫做“电子合成器”。对于好的编曲键盘和电子合成器来说,人们可以自己编辑修改音色和节奏风格,甚至可以传到电脑里通过

16、网络和别人分享这些音色节奏。而运用了电子技术做成的手感像钢琴那样的乐器叫“电钢琴”或“数码钢琴”。只是由于它目前比较贵,所以还不普及。中国有关企业正在努力地研制高性能低成本的电子琴。 我们也知道电子琴因其操作简单,且能模拟各种传统乐器的音色,而深受消费者喜爱。设计人员可以选用芯片,再配以适当的外围电路,可从琴键上进行演奏也可自动进行乐曲演奏,可模拟传统乐器笛、风琴、小号、单簧、双簧等音色。 根据市场的需求,设计具有集成度高、性能稳定可靠、保密性高、支持乐曲更新的多功能电子琴,具有很好的趣味性和实用性,从目前现在的电子产品要求生产低成本化的要求,市场的大多电子琴很难具备支持乐曲更新的特点,我们发

17、现这个可以具有很大的市场,而且现在的学生以及一些音乐爱好者对电子琴有种偏爱。 但是国内外的电子琴要么是很好的价格太贵,让很多人望“琴”心叹,另外电子琴也可用于孩童的学前音乐教育,但是电子琴行业找不到一个标杆,因此对于电子琴爱好者以及需求者来说研究一种可行的电子琴是我们的目的。 其意义是在于让电子琴爱好者们能如愿以偿的追求自己喜欢的东西,并让产品的成本最低化,及服务大众,也让公司受益。 2 毕业设计 第2章 方案总体设计与论证 2.1总体设计 根据课题的要求,电子琴的设计方法种类繁多,所需核心芯片不一,有LDQ852集成块、有单片机、FPGA等,但各种设计方法的实现方式及过程各有不同。其实本质上

18、还是输入电路,配合主要模块电路,驱动扬声器发声电路。 2.2 方案设计与选择 本课题设计选择三种方案经行选择:第一种是采用数字逻辑电路;第二种是采用现场可编程逻辑器件设计;第三种是采用单片机原理设计来实现。那么下面我将方案的设计论证。 2.2.1采用数字逻辑电路制作 采用数字逻辑电路制作,用IC 拼凑焊接实现,这种电路很直观,简单方便。但应用数字逻辑电路制作的话,使用的器件较多,连接复杂,体积大,功耗大。电路中焊点和线路较多会,使成品的稳定度和精度大大降低,另外采用数字逻辑不能达到音频输出的效果。 2.2.2采用现场可编程逻辑器件制作 采用现场可编程逻辑器件制作电子琴,利用EDA软件中的VHD

19、L硬件描述语言编程进行控制,然后烧制实现.采用FPGA来设计的原理图如图2.4.1所示.它由控制输入电路、FPGA、显示电路和扬声器电路组成。 控制输入电路 控制信号 FPGA 显示值 脉冲 显示电路 扬声电路 图2.2.2 采用FPGA设计的电子琴原理方框图 控制输入电路主要是为用户设计的,起到一个输入控制的作用。FPGA是现场可编程逻辑门阵列,也是本设计方案的核心内容,它是实现电子琴运作的主要控制模块.由设计人员把编好的VHDL程序烧制到现场可编程逻辑器件FPGA中,然后通过控制输入电路把乐谱输入到FPGA,产生不同的频率驱动扬声器,发出不同的乐谱.同时也把发出的乐谱符号通过显示器输出。

20、3 毕业设计 3.2.3 采用单片机制作 单片机设计的电子琴,现在已经达到很成熟的阶段了,它的应用也十分广泛. 采用单片机来实现电子琴,它的原理方框图与用FPGA来实现的原理方框图类似,如图3.2.3所示。 控制输入电路 MCU(单片机) 显示电路 扬声器电路 图3.2.3采用单片机实现电子琴的原理方框图 图2.2.2和图2.2.3的基本原理都相同,唯一不同的是一个是它们选用的主要控制部件不同,一个用FPGA来制作,一个是用单片机来实现.采用单片机来实现电子琴,主要的核心是单片机的设计,而FPGA器件主要是VHDL语言以及各模块的设计。 2.3设计方案的确定 对于电子琴的设计,三个方案均可以实

21、现。但是,对于多功能电子琴的话第一个方案中采用的是数字逻辑电路来制作,该电路硬件所需的器材多,体积庞大,比较复杂,而且精度和稳定度都不是很高。此方案不可取。 第二个方案采用的是现场可编程逻辑门阵列器件来实现, 它的优点是所有电路集成在一块芯片上,此方案所需的外围电路简单,这样它的体积就减少了,同时还提高了系统的稳定度。还可以用编程软件Quartus II经行进行仿真和调试等。设计人员可以充分利用VHDL硬件描述语言很方便的编程,提高开发效率,缩短研发周期,降低研发成本;而且易于进行功能的扩展,实现方法灵活,调试方便,修改容易。 第三个方案也有它的优点,但同时也存在缺点.它对设计者的要求比较高,

22、设计者对软硬件必须十分熟悉.和方案二来比它的实验仿真没有方案二简单直观,调试也有一定的难度.在外界环境相同的条件下,方案三设计出来的产品精度和稳定度要比方案二稍微差一些. 因此,综合考虑,基于多功能电子琴的设计我们选择方案二来实现。 4 毕业设计 第3章 硬件电路设计 3.1设计原理分析 根据电子琴系统的三大功能:支持手动弹奏、自动演奏、弹奏回放可将其分成音频转换模块,键盘扫描和模式控制模块和存储器模块三部分,加显示模块配合音符的显示及功能模式选择的显示,其中存储器模块包括自动播放模块和录音回放模块。 功能的实现,其工作原理是这样的:我们知道,手动弹奏最根本的目的是将按键的信号在FPGA器件中

23、选择相应的乐曲频率,然后输出发声。也就是说我们设计的时候需要想到的是组成乐曲的每个音符的发音频率值及其持续的时间是乐曲能连续演奏所需的两个基本要素,问题是如何来获取这两个要素所对应的数值以及通过纯硬件的手段来利用这些数值实现所希望乐曲的演奏效果,另外设计人员还需将原本设计好的乐曲存储在FPGA器件中,当按键选择时能自动把音乐播放出来。 3.2电路设计 本设计主要是实现通过按8个不同的琴键,模拟电子琴发音。那么就通过可编程逻辑门阵列器件和VHDL硬件描述引言来实现电子琴的设计。 8按键输入 EPCS1 FPGA AS接口 电源 数码管显示 蜂鸣器 图3.2 电子琴硬件框图 设计的主体是按键控制模

24、块电路,FPGA主板电路和显示模块电路。对输入的频率进行分频,得到各个音阶相对应的频率作为输出并具有录音的功能。再5 毕业设计 在原设计的基础上,增加一个乐曲存储模块,代替了键盘输入,产生节拍控制和音阶选择信号,即在此模块中可存放一个乐曲曲谱真值表,由一个计数器来控制此真值表的输出,而由此计数器的计数时钟信号作为乐曲节拍控制信号,从而可以设计出一个纯硬件的乐曲自动演奏电路。电子琴的硬件框图如上图3.2所示. 3.3 FPGA概述 FPGA 是英文 Field Programmable Gate Array 的缩写,即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展

25、的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。它是当今数字系统设计的主要硬件平台,其主要特点就是完全由用户通过软件进行配置和编程,从而完成某种特定的功能,且可以反复擦写。在修改和升级时,不需额外地改变PCB电路板,只是在计算机上修改和更新程序,使硬件设计工作成为软件开发工作,缩短了系统设计的周期,提高了实现的灵活性并降低了成本,因此获得了广大硬件工程师的青睐。 FPGA它采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable L

26、ogic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA 的可编程实际上是改变了 CLB 和 IOB 的触发器状态,这样,可以实现多次重复的编程由于 FPGA 需要被反复烧写,它实现组合逻辑的基本结构不可能像ASIC那样通过固定的与非门来完成,而只能采用一种易于反复配置的结构。查找表可以很好地满足这一要求,目前主流 FPGA 都采用了基于 SRAM 工艺的查找表结构,也有一些军品和宇航级FPGA采用Flash或者熔丝与反熔丝工艺的查找表结构。通过烧写文件改变查找表内容的方法来实现对 FPGA 的重复配置。 根据数

27、字电路的基本知识可以知道,对于一个n输入的逻辑运算,不管是与或非运算还是异或运算等等,最多只可能存在2n种结果。所以如果事先将相应的结果存放于一个存贮单元,就相当于实现了与非门电路的功能。FPGA的原理也是如此,它通过烧写文件去配置查找表的内容,从而在相同的电路情况下实现了不同的逻辑功能。查找表 (Look-Up-Table) 简称为 LUT,LUT 本质上就是一个 RAM。 目前FPGA中多使用 4 输入的 LUT,所以每一个LUT可以看成一个有4位地址线的RAM。当用户通过原理图或HDL语言描述了一个逻辑电路以后,PLD/FPGA开发软件会自动计算逻辑电路的所有可能结果,并把真值表(即结果

28、)事先写入RAM,这样,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出即可。从表中可以看到,LUT 具有和逻辑电路相同的功能。实际上,6 毕业设计 LUT 具有更快的执行速度和更大的规模。 由于基于LUT的FPGA具有很高的集成度,其器件密度从数万门到数千万门不等,可以完成极其复杂的时序与逻辑组合逻辑电路功能,所以适用于高速、高密度的高端数字逻辑电路设计领域。其组成部分主要有可编程输入,输出单元、基本可编程逻辑单元、内嵌 SRAM、丰富的布线资源、底层嵌入功能单元、内嵌专用单元等,主要设计和生产厂家有赛灵思、Altera、Lattice、Actel、Atme

29、l 和 QuickLogic 等公司,其中最大的是美国赛灵思公司,占有可编程市场 50% 以上的市场份额,比其他所有竞争对手市场份额的总和还多。 FPGA器件集成度高、体积小,具有通过用户编程实现专门应用的功能,特别适合于产品的样机开发和小批量生产。现场可编程门阵列FPGA是一种新型的高密度PLD,采用CMOSSRAM工艺制作,与门阵列PLD不同,其内部由许多独立的可编程逻辑模块组成(如下图3.3所示),逻辑块之间可以灵活地相互连接。 图3.3 FPGA内部芯片的结构图 现场可编程门阵列FGPA的结构一般分为三部分:可编程逻辑块、可编程I/O模块和可编程内部连线。CLB的功能很强,不仅能够实现

30、逻辑函数,还可以配置成RAM等复杂的形式,配置数据存放在片内的SRAM或者熔丝图上。基于SRAM的FPGA器件工作前需要从芯片外部加载配置数据,配置后的数据可以存储在片外的EPROM上或者计算机上。 现场可编程门阵列FPGA允许电路设计者利用基于计算机的开发平台,经过设计输入、仿真、测试和校验,直接达到预期的结果。使用FPGA器件可以大大缩短系统的研7 毕业设计 制周期,减少资金的投入。更吸引人的是,采用FPGA器件可以将原来的电路板级产品集成为芯片级产品,从而降低了功耗,提高了可靠性,同时还可以很方便地对设计进行在线修改。因此,FPGA的出现受到了电子设计师的普遍欢迎,发展十分迅速。 3.3

31、.1 FPGA的基本结构 FPGA采用了逻辑单元阵列LCA这样一个新概念,内部包括可配置逻辑模块CLB、输出输入模块IOB和内部连线三个部分。FPGA的基本特点主要有: 1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。 2)FPGA可做其它全定制或半定制ASIC电路的中试样片。 3)FPGA内部有丰富的触发器和IO引脚。 4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。 5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。 可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。 FPGA是由存放在片内RAM中的程序来设置其工作状态

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号