数字电路课程设计报告七人表决电路.doc

上传人:文库蛋蛋多 文档编号:3925680 上传时间:2023-03-28 格式:DOC 页数:18 大小:1.27MB
返回 下载 相关 举报
数字电路课程设计报告七人表决电路.doc_第1页
第1页 / 共18页
数字电路课程设计报告七人表决电路.doc_第2页
第2页 / 共18页
数字电路课程设计报告七人表决电路.doc_第3页
第3页 / 共18页
数字电路课程设计报告七人表决电路.doc_第4页
第4页 / 共18页
数字电路课程设计报告七人表决电路.doc_第5页
第5页 / 共18页
点击查看更多>>
资源描述

《数字电路课程设计报告七人表决电路.doc》由会员分享,可在线阅读,更多相关《数字电路课程设计报告七人表决电路.doc(18页珍藏版)》请在三一办公上搜索。

1、数字电路课程设计报告课 题:七人表决电路班 级: 电子信息工程1301 姓 名: 学号: 1 1 0 8 0 3 0 5 0指导教师:设计时间: 2015.4-2015.5 七人表决电路 一、设计任务与要求1设计一个七人表决器,用数码管显示出同意的人数。2. 当赞同者达到及超过四人时,LED发光二极管灯亮表示通过3学会根据已学知识设计具有某一特定功能的电路,学会基本电路的组装与调试。二、方案设计与论证当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。对逻辑电位进行译码,对译码后芯片的输出进行显示。方案一:先用74LS161自动计

2、数,在计数的同时用数据选择器分别将通过和否决的高电平进行选择,之后将七人的选择结果用74LS161加法计数器进行累加。然后在数码管显示并用数据比较器进行比较,如果通过的票数大于或等于4,则LED灯亮表示“通过”。如果通过的票数小于4,则LED灯不亮表示“否决”。 方波信号数码管显示二进制计数器八选一数据选择器二进制计数器数据比较器 +5V电源 七个并排拨挡开关结果显示 方案一 总体电路原理图方案二:将七人的通过、否决票数用移位寄存器进行寄存,弃权的票数用另一组移位寄存器进行寄存,然后用CLK脉冲信号使其移位寄存器进行右移,用T触发器构成的同步二进制加法计数器进行累加,如果通过的票数大于或等于4

3、,则LED灯亮表示“通过”。如果通过的票数小于4,则LED灯不亮表示“否决”。方案二 总体电路原理图方案对比:方案一是利用数据选择器和计数器原理,电路实现方便、设计思路明确、布线简单、电路的稳定性高、调试简单、易于实现要求;方案二利用寄存器以及计数器原理,电路复杂不容易查找错误,调试复杂。通过分析,结合设计电路性能指标、器件的性价比,本设计电路选择方案一三、单元电路设计与参数计算1.555定时器 先把555定时器时钟的2,6脚相连并通过电容C2接地,并同时通过R15接到7脚,7脚通过R16接电源Vcc,5脚接地C1再接到地,构成多谐振荡器。用以产生方波时钟信号。电路中,R15、R16、C1和C

4、2是定时元件,电路原理图如下: 555定时器是一种用途很广的集成电路,如表3所示,可以构成施密特触发器、单稳态触发器和多谐振荡器,都是利用R、C元件构成的脉冲电路,分析的关键就是电容的充放电,关键的连线点事是与电容相连的元件输入端。2.表决电路部分:先将七个并排拨挡开关的输入接到电源,输出依次接到74LS151的输入端X0X1X2X3X4X5X6,输入端X7接地,再用方波信号使74LS161二进制加法计数器自动计数并将其输出端的Q0Q1Q2依次接入到八选一数据选择器74LS151的ABC,在74LS151的输入端G接入方波信号让其芯片进行选择使其在计数的同时用数据选择器分别将通过和否决的高低电

5、平进行选择,将74LS151的输出端Y接入到74LS161的输入端clk用来把七人的选择结果在74LS161加法计数器进行累加,74LS161的最高位输出端Q3接入与非门74LS00之后到74LS161和74LS161的7端口,用来计数截止保持到8,由于七人表决器跟大于或等于4时且小于或等于7时LED灯亮以用来显示通过,分析可知只需在74LS161的输出端Q2接入LED灯即可,电路图如下:表决电路部分(1)74LS161引脚图以及功能表:74LS161是常用的四位二进制可预置的同步加法计数器,他可以灵活的运用在各种数字电路, 是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数

6、字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。 74LS161是四位二进制可预置同步计数器,由于它采用4个主从JK触发器作为记忆单元,故又称为四位二进制同步计数器,其集成芯片管脚如图所示。74LS161管脚图该计数器由于内部采用了快速进位电路,所以具有较高的计数速度。各触发器翻转是靠时钟脉冲信号的正跳变上升沿来完成的。时钟脉冲每正跳变一次,计数器内各触发器就同时翻转一次,74LS161的功能表如表所示:74LS161逻辑功能表输 入输 出ETEPCPABCDQAQBQCQDLLLLLHLabcdabcdHHHH计 数HHL保 持HHL保 持由于74LS16

7、1的计数容量为16,即计16个脉冲,发生一次进位,所以可以用它构成16进制以内的各进制计数器,实现的方法有两种:置零法(复位法)和置数法(置位法)。从74LS161功能表功能表中可以知道,当清零端CR=“0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”,这个时候为异步复位功能。当CR=“1”且LD=“0”时,在CP信号上升沿作用后,74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3,D2,D1,D0的状态一样,为同步置数功能。而只有当CR=LD=EP=ET=“1”、CP脉冲上升沿作用后,计数器加1。74LS161还有一个进位输出端CO,其逻辑关系是CO=Q0Q1Q2Q

8、3CET。合理应用计数器的清零功能和置数功能,一片74LS161可以组成16进制以下的任意进制分频器。(2)74LS151引脚图以及功能表:74LS151为互补输出的8选1数据选择器。引脚排列如图所示,74LS151管脚图功能如表所示。74LS151逻辑功能表选择控制端(地址端)为CA,按二进制译码,从8个输入数据D0D7中,选择一个需要的数据送到输出端Y,G为使能端,低电平有效。(1)使能端G1时,不论CA状态如何,均无输出(Y0,W1),多路开关被禁止。838电子(2)使能端G0时,多路开关正常工作,根据地址码C、B、A的状态选择D0D7中某一个通道的数据输送到输出端Y。如:CBA000,

9、则选择D0数据到输出端,即YD0。新艺图库如:CBA001,则选择D1数据到输出端,即YD1,其余类推。(3)发光二极管LED发光二极管的工作原理 图3-4发光二极管结构图发光二极管简称为LED。由镓(Ga)与砷(AS)、磷(P)的化合物制成的二极管,当电子与空穴复合时能辐射出可见光,因而可以用来制成发光二极管,在电路及仪器中作为指示灯,或者组成文字或数字显示。磷砷化镓二极管发红光,磷化镓二极管发绿光,碳化硅二极管发黄光。注意事项:用数字式万用表去测二极管时,红表笔接二极管的正极,黑表笔接二极管的负极,此时测得的阻值才是二极管的正向导通阻值,这与指针式万用表的表笔接法刚好相反。发光二极管的正负

10、极也可以从引脚长短来识别,长脚为正,短脚为负。经分析,将74LS161(2)的输出端的Q2接到LED灯长脚,短脚接地。(4)显示译码器CD4511:CD4511是一个用于驱动共阴极 LED (数码管)显示器的 BCD 码七段码译码器,特点是具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。可直接驱动LED显示器。CD4511引脚图CD4511功能介绍如下:BI:4脚是消隐输入控制端,当BI=0 时,不管其它输入端状态如何,七段数码管均处于熄灭(消隐)状态,不显示数字。 LT:3脚是测试输入端,当BI=1,LT=0 时,译码输出全为1,不管输入 DCBA 状态如

11、何,七段均发亮,显示“8”。它主要用来检测数码管是否损坏。LE:锁定控制端,当LE=0时,允许译码输出。 LE=1时译码器是锁定保持状态,译码器输出被保持在LE=0时的数值。A1、A2、A3、A4、为8421BCD码输入端。 a、b、c、d、e、f、g:为译码输出端,输出为高电平1有效。CD4511的内部有上拉电阻,在输入端与数码管笔段端接上限流电阻就可工作。(5)共阴阴数码管:共阴极数码管则是把所有LED的阴极连接到共同接点com,而每个LED的阳极分别为a、b、c、d、e、f、g及dp(小数点),如下图所示。图中的8个LED分别与上面那个图的ADP各段相对应,通过控制各个LED的亮灭来显示

12、对于单个数码管来说,从它的正面看进去,左下角那个脚为1脚,以逆时针方向依次为110脚,左上角那个脚便是10脚了,上面两个图中的数字分别与这10个管脚一一对应。注意,3脚和8脚是连通的,这两个都是公共脚接阴极。四、总原理图及元器件清单1.总原理图:七人表决电路2.元件清单:(1)74LS151 1片(2)74LS161 2片(3)74LS00 1片(4)CD4511 1片(5)NE555 1片(6)共阴极数码管 1个(7)七个并排拨挡开关 1个(8)LED发光二极管 1个(9)0.22uF的电容 2个(10)2k的电阻 2个(11)147欧姆的电阻 7个五、安装与调试1.电路安装将设计好的电路在

13、Multisim 11.0中绘制出来,在绘制时,注意各器件的选择和使用,开关应选择并排拨挡开关,共7个,开关连线时应保持处于断开状态,不得提前接通电源。此外,接线时的走线,应选择合适的走线方式,使整个电路显得清晰明确,尽量避免接线的来回交叉、或者绕远的走线方式。Multisim 11.0的使用也是一项非常复杂的事情,需要足够的细心和耐心,一个很小的疏忽就容易接错线,而接线错误就会造成仿真错误,甚至仿真失败。因此一定要注意仿真时的正确接线。2.电路调试(1)静态调试电路安装完毕后,先认真检查接线是否正确,引脚是否虚焊,包括错线、少线、多线。线路连接错误一般是因为接线时看错引脚,或者改接时忘记去掉

14、原来的旧线造成的,实验查线是往往不易发现。首先按照总电路图检查是否有引脚连接错误,连接短路,接着用万用表依次检测电路的焊接中是否有虚焊或者短路的情况。焊接时注意点,这种情况可以避免。(2)动态调试完成焊接后,先测试电源导通,利用数码管的显示,看电源是否导通。要进行电路的表决需要借助555构成的多谐振荡器产生的信号,再用拨挡开关的开通与否进行表决,然后与数码管显示的数字进行对比看是否一致,需注意输入电压不要过高,对应5V即可。焊接实物图(1) 正面元件实物图:(2)反面焊接实物图:A:当1人/3人(小于4人)按下赞同开关,灯不亮B:当4人/6人(大于或等于4人)按下赞同开关,灯亮六、性能测试与分

15、析调试图1 5人通过电路仿真调试图2 2人通过电路仿真结论分析:接通电源拨动开关进行表决,每多(少)一个人表决赞同时数码管会相应的加(减)1,当数码管显示小于4时LED灯不亮,反之则亮,符合此次设计要求七、结论与心得在数字电子技术课程设计的尾声我认识到,我们做好课程设计,需要认真复习一个学期以来学过的内容,需要翻阅课本知识才能确立实验方案,然后逐步进行细化设计。我主要负责电路的模拟与仿真部分,在仿真过程中总会遇到各种各样的问题,需要耐心地分析、解决。我知道“世上无难事,只怕有心人”,经过我多日的不懈努力,我终于攻克了仿真过程中的大部分难题,成功地实现了仿真,基本达到了预期效果。通过这次的数电课

16、程设计,我了解了七人表决器的制作过程及其工作原理,通过和课本上的知识进行比较对照,更加深刻地理解了74LS151、74LS161等相关知识。我还学会了模拟仿真软件Multisim 11.0的安装、汉化、及基本使用方法,学会简单电路的模拟仿真,对今后的学习和生活会有很大的帮助,甚至可以不用去实验室就能在电脑上模拟完成一些实验项目。此外,我理解了设计数字电路时如何正确选取合适的元件,进一步地认识了数字电路的工作原理和它的性能指标。我们以前学的都是理论知识,仅仅能够通过不多的几次实验进行实践。这次课程设计之后,我认识到只有自己深入到练习和实践中去,才能真正地了解电路、认识电路,加深对所学知识的掌握理

17、解。由于本次课程设计安排在课程中期,课程任务多,导致设计时间紧,任务重,最后的设计结果难免存在一些问题,例如我们原本打算制作一个计数器,用来计算电路中共有几人同意,用74LS138、74LS139等相关芯片制做计数器并用数码管显示出来,在仿真中也进行了设计,但不知何故运行时经常发生错误,计数器总是不能正常工作,由于时间紧,没有足够的时间进行设计和调试,最终导致我们不得不删掉这一部分。我会在以后的实践活动中认真思考,好好改进,吸取这次的经验教训,在实践中得到锻炼,在实践中得以提高。通过本次课程设计,我学到不少东西,也得到了不少锻炼。在做课程实际之前,从大处着眼,统筹兼顾的看问题是必须的。首先的第

18、一要务是将整个任务书综合考虑,比如本次的七人表决器的设计,要综合考虑各部分的联系,分块设计,分块设计中主要考虑各个设计电路与其他部分的联系,各个部分电路功能的实现。最后是各部分电路的整体连接与调试。而且,我也从这次实验中学到了不少在书本中没有的东西,明白了实践与理论的结合的重要性,以及同学之间互相帮助、相互合作的重要性,更重要的是对整个电路各个元器件及其模拟仿真有了深刻的认识,在遇到困难时运用学过的相关知识,运用适当的方法尽力去解决各个难题,通过自己不懈的努力来达到目标。八、参考文献1.阎石 数字电子技术基础(第四版), 高等教育出版社 ,20062.555集成电路实用大全,郝鸿安等主编,上海

19、科学普及出版社3.毕满清主编,电子技术实验与课程设计,机械工业出版社。4.用万用表检测电子元器件,杜龙林编,辽宁科学技术出版社(2001)5.童诗白 华成英 模拟电子技术基础(第四版) 高等教育出版社,2006.张亦华,延明,肖冰.数字逻辑设计实验技术与EDA工具(第三版) 北京邮电大学出版社,2003.7.电子实验与课程设计赣南师范学院物理与电子信息学院8.电工电子实践指导(第三版),王港元主编,江西科学技术出版社(2009)9.新颖实用电子设计与制作,杨振江等编,西安电子科大出版社(2000)。物理与电子信息学院数字电路课程设计成绩评定表专业:电子信息工程 班级:1301 学号:11080

20、3050 姓名: 张 婷课题名称七人表决电路设计任务与要求1设计一个七人表决器,用数码管显示出同意的人数。2. 当赞同者达到及超过四人时,LED发光二极管灯亮表示通过3学会根据已学知识设计具有某一特定功能的电路,学会基本电路的组装与调试。设计报告成绩评分标准:有合理的方案设计和论证、电路参数的计算、总原理图和清单。(0-20分)电路板制作、调试规范,有详细制作和调试过程。(0-10分)电路板测试合理,对性能指标测试数据完整,正确;进行数据处理规范,进行了误差计算和误差分析。(0-15分)对课程设计进行了总结,有体会,并能提出设计的改进、建设性意见。 (0-5分)设计报告成绩:电子作品成绩评分标准:电路正确,能完成设计要求提出的基本功能。(0-30分)电路板焊接工艺规范,焊点均匀,布局合理。(0-20分) 电子作品成绩:课程设计成绩 总成绩: 指导教师: 2014年5月10日

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号