514652079毕业设计(论文)基于VHDL语言的汉字滚屏显示系统设计.doc

上传人:文库蛋蛋多 文档编号:3930578 上传时间:2023-03-28 格式:DOC 页数:66 大小:238.50KB
返回 下载 相关 举报
514652079毕业设计(论文)基于VHDL语言的汉字滚屏显示系统设计.doc_第1页
第1页 / 共66页
514652079毕业设计(论文)基于VHDL语言的汉字滚屏显示系统设计.doc_第2页
第2页 / 共66页
514652079毕业设计(论文)基于VHDL语言的汉字滚屏显示系统设计.doc_第3页
第3页 / 共66页
514652079毕业设计(论文)基于VHDL语言的汉字滚屏显示系统设计.doc_第4页
第4页 / 共66页
514652079毕业设计(论文)基于VHDL语言的汉字滚屏显示系统设计.doc_第5页
第5页 / 共66页
点击查看更多>>
资源描述

《514652079毕业设计(论文)基于VHDL语言的汉字滚屏显示系统设计.doc》由会员分享,可在线阅读,更多相关《514652079毕业设计(论文)基于VHDL语言的汉字滚屏显示系统设计.doc(66页珍藏版)》请在三一办公上搜索。

1、摘 要现代电子设计技术的核心是EDA(Electronic Design Automation,电子设计自动化)技术。它融合多学科于一体,打破了软硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率和产品性能综合在一起,它代表了电子设计技术和应用的发展。因此,掌握EDA技术是通信电子类高校学生就业的一个基本条件。80年代后期由美国国防部开发的VHDL语言,正好满足了广大用户所期盼的面向设计的多层次、多领域且得到一致认同。MAX+PLUSII软件是Altera提供的FPGA/CPLD开发集成环境,它具有原理图输入、文本输入、波形输入等多种输入方式,设计者利用它所配备的编辑、编译、仿真、综合芯片编

2、程等功能完成将描述程序变换为基本的逻辑单元写入到可编程器件PLD中。本论文主要研究的是应用一种硬件描述语言VHDL,借助MAX+PLUSII软件开发工具,设计开发一个汉字滚屏显示系统,能够在试验箱上滚动(上、下、左、右)显示已被存于ROM中的汉字内容,用VHDL语言编程实现,编译通过后,将其下载到FPGA芯片中具体实现其功能。关键词:电子设计自动化;VHDL硬件描述语言;MAX+PLUSII;现场可编程逻辑器件;汉字滚动显示。 ABSTRACTEDA(electronic design automation)technology is the core of the modern electr

3、onic design technology. It mixes together a lot of subjects, breaks the barrier between software and hardware, makes the technology of software and the complement of hardware and the efficiency of design and function of product synthesize one object. It represents the direction of development of ele

4、ctronic design technology and use. Thus, mastering EDA technology is a primary condition for students of communication electronic university to obtain employment.The language of VHDL of the American Ministry of National Defense development, is a kind of software which face to the multilayers, many r

5、ealm and get the consistent approbation. MAX+PLUSII that supplied by Altera is a surrounding for CPLD/FPGA development and integration. It has graphic input and text input and waveform input and so on. Designers can write their diagram into the programming device with utilizing edit complier simulat

6、or synthesize chip editor, etc, function that provided by the software, make into ASIC chip. This thesis main research to VHDL Hardware description language draw support MAX+PLUSII, design a system to character rolling. And it can lode into the FPGA chip to rolling to left, right, up and down.Key wo

7、rds: EDA; MAX+PLUSII; FPGA; VHDL; The Ch.charaiter Rolling Display目录第1章 绪论11.1 引言11.2 课题简介21.2.1 课题介绍21.2.2 课题意义21.3本论文研究内容3第2章 相关知识介绍42.1 EDA技术42.1.1 EDA技术简介42.1.2 EDA技术实现目标52.1.3 EDA技术的发展趋势72.2 硬件描述语言 VHDL92.2.1 VHDL语言程序设计的基本结构102.2.2 VHDL语言的数据类型及运算操作符112.2.3 VHDL语言的主要描述语句122.2.4 VHDL语言构造体的描述方式132

8、.2.5 VHDL语言设计基本逻辑电路142.3 MAX+PLUS概述152.3.1 MAX+PLUSII的特点152.3.2 MAX+PLUSII的设计流程162.3.3 MAX+PLUSII的使用172.4 PLD 简介212.4.1 PLD技术概述212.4.2 PLD的基本结构222.4.3 PLD教学实验箱简介232.5 本章小结26第3章 系统实现方法273.1 系统的实现方法273.1.1 数字系统的设计方法273.1.2 本系统的实现方法283.2 VHDL实现系统功能303.2.1 快、慢信号的控制显示303.2.2 汉字信息的取得及其存储器的实现303.2.3 汉字上下左右

9、滚动的控制实现313.3 本章小结32第4章 系统的仿真与调试334.1 系统的仿真334.2 VHDL实现的系统编译334.3 仿真的下载演示354.4 本章小结35结论36参考文献37致谢38附录 VHDL实现的系统程序清单39第1章 绪论1.1 引言进入20世纪后半段,集成电路技术的发展是电子系统的实现方式发生了很大的变化。六十年代之前,电子系统都有分立元件构成;六、七十年代电子系统主要采用通用的集成电路;八、九十年代电子系统逐步采用半定制的专用集成电路可编程器件;进入21世纪,全定制和定制专用集成电路正成为新的发展热点。专用集成电路的设计与应用必须依靠专门的EDA工具电子设计自动化(E

10、DA)是一个广泛的概念,凡在电子设计过程中用到计算机辅助手段的相关步骤都可以作为EDA的组成部分。当前,EDA技术正受到高度的重视和广泛的应用,并在深度和广度上不断发展。电子系统的设计已经无法脱离EDA工具的支持,并且依赖性越来越强。所谓EDA,具体来说就是数字系统的设计可以直接面向用户,根据系统的行为和功能要求,可以自上而下的逐层完成相应的描述、综合、优化、仿真与验证,直到生成器件。设计人员借助开发软件的帮助,可以将设计过程中的许多细节问题抛开,而将注意力集中在产品的总体开发上,这样大大提高了工作效率,缩短了开发周期。目前世界上一些大型的EDA软件公司已开发了一些著名的软件,如Protel9

11、9、ORCAD、EWB、MAX+PLUSII等,对于一名电子工程师至少应掌握一门开发软件。现在的EDA设计工具,无不例外的使用硬件描述语言作为设计手段,据统计大部分设计者使用VHDL语言设计数字系统,因此,掌握VHDL对电子设计这是十分必要的。1.2 课题简介1.2.1 课题介绍运用一种硬件描述语言(如VHDL语言)设计开发一个汉字滚屏显示系统,能够在液晶显示器其上滚动(上下左右滚动)显示已被存于ROM中的汉字内容。用VHDL语言编程实现,编译通过后,将其下载到FPGA芯片中具体实现其功能。1.2.2 课题意义众所周知,一方面,电子系统的集成化,不仅可以使系统的体积小、重量轻且功耗低,更重要的

12、是可以使系统的可靠性大大提高,因此自集成电路问世以来,电子系统日趋数字化、复杂化和大规模化。另一方面,电子产品的实际周期短和上市快也是电子厂商坚持不懈追求,一个企业如果能够比其他竞争对手更快地推出新产品,更快地对市场做出反应,就能够获得更大的市场份额和更大的利润。电子设计自动化(EDA),即利用计算机帮助设计人员完成繁琐的设计工作,是解决以上两个问题的唯一途径。电子设计自动化的关键技术之一是要求用形式化的方向来描述系统的硬件电路,既要用所谓的硬件描述语言来描述硬件电路。所以硬件描述语言以及相关的仿真、综合等技术是当今电子世纪自动化领域的一个重要课题。VHDL是一种面向设计的多层次、多领域且得到

13、一致认同的标准硬件描述语言。MAX+PLUSII是当今最流行最优秀的EDA软件之一,它支持硬件描述语言输入等多种设计方式。它已成为一名电子设计者的必备知识。1.3本论文研究内容基于EDA技术的广阔前景,本文从EDA技术的基本知识入手,逐一介绍了与其相关的MAX+PLUSII软件的合理灵活快速使用方法,VHDL语言的应用以及相关知识。本论文主要有三个方面的内容:EDA介绍(主要介绍MAX+PLUSII和VHDL语言的使用)、PLD可编程教学实验箱简介、系统的设计过程和系统仿真与调试,因此,论文共分四个章节。下面简要介绍一下各章的内容:第1章简要介绍本课题的内容及意义;第2章主要对课题的一些相关技

14、术作了介绍:包括设计使用到的PLD教学实验箱,并对PLD技术也作了简要介绍,对EDA、VHDL语言和的MAX+PLUSII介绍比较详细和深入;第3章主要介绍系统的实现过程。本系统的设计采用了硬件描述语言的文本输入方式;第4章就系统的仿真模拟和下载演示作了介绍。第2章 相关知识介绍2.1 EDA技术2.1.1 EDA技术简介20世纪末,电子技术得到了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力的推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,铲平日内更新换代的节奏越来越快。 微电子技术的进步表现在大规模集成电路加工技术,即半导体工艺技术的发

15、展上。表征半导体工艺水平的线宽已经达到0.13um,并还在不断地缩小;在硅片单位面积上,集成更多的晶体管。集成电路设计在不断地向着超大规模、极低功耗和超高速的方向发展;专用集成电路ASIC(Application Specific Integrated Circuit)的设计成本不断降低,在功能上,现代的集成电路已经实现单片电子系统SOC(System on a Chip)的功能。 现代电子设计技术的核心是EDA(Electronic Design Automation)技术。EDA技术就是以来功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL为逻辑描述手段完成的设计文件,自动地

16、完成逻辑编译、逻辑简化、逻辑分割、逻辑综合、结构综合,以及逻辑优化和仿真测试。直至实现既定的电子线路系统功能。EDA技术使得设计者的工作仅限于利用软件方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。 从另一方面看,在现代高新电子产品的设计和生产中,微电子技术和现代电子设计技术是相互促进、相互推动又相互制约的两个技术环节。前者代表了物理层在广度和深度上硬件电路实现的发展,后者则反映了现代先进的电子理论=电子技术、仿真技术、设计工艺和设计技术与最新的计算机软件技术有机的融合和升华。因此,严格地说,EDA技术应该是这二者的结合,使这两个技术领域共同孕育的奇葩。EDA技术在硬件实现方面

17、融合了大规模集成电路制造技术,IC版图设计技术、ASIC测试和封装技术、FPGA/CPLD编程下载技术、自动测试技术等;在计算机辅助功能方面融合了CAD、CAM、CAT、CAE技术以及多种计算机语言的设计概念;在现代电子学方面容纳了更多内容:电子线路设计理论、数字信号处理技术等等。依次EDA技术为现代电子理论和设计的表达与实现提供了可能性,它融合多学科于一体,打破了软件与硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率和产品性能合二为一,它代表了电子设计技术和应用技术的发展方向。2.1.2 EDA技术实现目标一般地,利用EDA技术进行电子系统设计,最后的目标是完成专用集成电路ASIC的实现

18、和设计,ASIC作为最终的物理平台,集中容纳了用户通过EDA设计技术将电子应用系统的既定功能和技术制定功能的独立集成电路器件,根据这个定义,作为EDA技术最终实现目标的ASIC,可以通过三种途径来完成。1 规模可编程逻辑器件 FPGA(Field Programmable Gate Array)和CPLD(Complex Programmable Logic Device)是实现这一途径的主流器件,它们的特点是直接面向用户,具有极大的灵活性和通用型,使用方便,开发效率高,成本低,上市时间短,技术维护简单,工作可靠性好等。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SOC和A

19、SIC设计,以及对自动设计与自动实现最典型的诠释。由于FPGA和CPLD的开发工具、开发流程和使用方法与ASIC有类似之处,因此这类器件通常也称为可编程专用IC,或可编程ASIC。2 半定制或全定制ASIC 基于EDA设计技术的半定制或全定制ASIC根据它们的实现工艺,可统称为掩模ASIC(MASK),或直接称ASIC。可编程ASIC与MASK相比,不同之处在于前者具有面向用户的灵活多样的可编程性。 掩模ASIC大致可分为门阵列ASIC、标准单元ASIC和全定制ASIC。门阵列ASIC。 门阵列ASIC。门阵列芯片包括预定制的PMOS和NMOS晶体管行。设计中,用户可以借助EDA工具将原理图或

20、硬件描述语言模型映射为响应门阵列晶体管配置,创建一个制定金属互连路径文件,从而完成门阵列ASIC开发。由于有掩模的常见的过程,门阵列有也称掩模了编程门阵列(MPGA)。但是MPGA与FPGA完全不同,它不是用户可编程的,也不属于可编成逻辑范畴,而是实际的ASIC。MPGA出现在FPGA之前,而FPGA技术源自MPGA。 标准单元ASIC。目前大部分ASIC是使用库中的大小不同的标准单元设计的,这类芯片一般称作基于单元的集成电路(Cell-bassed Integrated Circuit,CBIC)。在设计者一级,库包括不同复杂性的逻辑单元:SSI逻辑块、MSI逻辑块、数据通道模块、存储器、I

21、P、乃至系统级模块。库包括每个逻辑单元杂硅片级的完整布局。使用者利用EDA软件工具与逻辑块描述打交道即可,完全不必关心电路布局的细节。标准单元布局中,所有扩散、接触点、过孔、多晶通道及金属通道都已完全确定。当该单元用于设计时,通过EDA软件产生的网表文件将单元布局块“粘贴”到芯片布局之上的单元行上。标准单元ASIC设计与FPGA设计开发的流程相近。 全定制芯片。全定制芯片中,在针对特定工艺建立的设计规则下,设计者对于电路的设计有完全的控制权,如线的间隔和晶体管大小的确定。该领域的一个例外是混合信号设计,使用通信电路的ASIC可以定制设计其模拟部分。3 混合ASIC混合ASIC(不是指数模混合A

22、SIC)主要是指既具有面向用户的FPGA可编程逻辑功能和逻辑资源,同时也含有可方便调用和配置的硬件单元模块,如CPU、RAM、ROM、硬件加法器、乘法器、锁相环等。Xilinx和Altera公司已经推出了这方面的器件,如Virtex-Pro系列和Stratix系列等。混合ASIC为SOC和SOPC(System on a Programmable Chip)的设计实现成为便捷的途径。2.1.3 EDA技术的发展趋势就过去30年的电子技术的发展历程,可大致将EDA技术的发展分为三个阶段。20世纪80年代,集成电路设计进入了COMS(互补场效应管)时代。复杂可编程逻辑器件已进入商业应用,相应的辅助

23、设计软件也已投入使用。而在80年代末,出现了FPGA,CAE和CAD技术的应用更为广泛,它们在PCB设计方面的原理图输入、自动布局布线及PCB分析,以及逻辑设计、逻辑仿真、布尔方程综合和化简等方面担任了重要的角色,特别是各种硬件描述语言的出现、应用和标准化方面的重大进步,为电子设计自动化必须解决的电路建模、标准文档及仿真测试奠定了基础。进入20世纪90年代,随着硬件描述语言的标准化得到进一步的确立,计算机辅助工程、辅助分析和辅助设计在电子技术领域获得更加广泛的应用,与此同时电子技术在通信、计算机及家电产品中的市场需求和技术需求,极大的推动了全新的电子设计自动化技术的应用和发展。特别是集成电路设

24、计工艺步入超深亚微米阶段,百万门以上的大规模可编程逻辑器件的陆续面世,以及基于计算机技术的面向用户的低成本大规模ASIC设计技术的应用,促进了EDA技术的形成。更为重要的是各EDA公司致力于推出兼容各种硬件实现方案和支持标准硬件描述语言的EDA工具软件的研究,都可有效地将EDA技术推向成熟。EDA技术在进入21世纪后,得到了更大的发展,突出表现在以下几个方面: 使电子设计成果以自主知识产权得以明确表达和确认成为可能。 在仿真和设计两方面支持标准硬件描述语言的功能强大的EDA软件不断推出。 电子技术全方位纳入EDA领域,除了日益成熟的数字技术外,传统的电路设计建模理念发生了重大变化。 EDA使得

25、电子领域各学科的界限更加模糊,更加互为模糊,更加互为包容:模拟与数学、软件与硬件、系统与器件、ASIC与FPGA、行为与结构等。 更大规模的FPGA和CPLD器件的不断推出。 基于EDA工具的ASIC设计标准单元已涵盖大规模电子系统及IP核模块 软硬件IP核在电子行业的产业领域、技术领域和设计应用领域得到进一步确认(IP即Intellectual Propetrty,即知识产权的简称,往往指一个公司出售给另一个公司的硬件设计包)。 SOC高效低成本设计技术的成熟。 随着市场需求的增长,集成工艺水平的可行性以及计算机自动设计技术的不断提高,促使系统集成芯片成为IC设计的发展方向,这一发展趋势在如

26、下几方面: 超大规模集成电路的集成度和工艺水平不断提高,深亚微米工艺已经走向成熟,在一个芯片上完成系统级的集成已成为可能。 市场对电子产品提出了更高的要求,从而对系统的集成度不断提出更高的要求, 高性能的EDA工具得到长足的发展,其自动化和智能化程度不断提高,为嵌入式系统设计提供了功能强大的开发环境。 计算机硬件平台性能大幅度提高。现有的HDL语言无法完成对复杂系统级的抽象描述,因此,人们正尝试开发一种新的系统设计语言来完成这一工作。此外,随着系统开发对EDA技术的目标器件各种性能要求的提高,ASIC和FPGA将更大程度的相互融合。现在,传统ASIC和FPGA之间的界限正变得模糊。系统级芯片不

27、仅集成RAM和微处理器也集成FPGA。整个EDA和IC设计工业都朝这个方向发展,这并非是FPGA和ASIC制造竞争者的产物,而是对于用户来说,意味着有了更多的选择。2.2 硬件描述语言 VHDL所谓硬件描述语言,就是可以描述硬件电路的功能,信号联结关系及定时关系的语言。它能比电原理图更有效地表示硬件电路的特性。数字逻辑电路设计者可以利用这种语言老描述自己的设计思想,然后利用电子设计自动化工具进行仿真,在自动综合到门级电路,最后利用ASIC或FPGA实现其功能,目前,这种被称为高层次设计的方法已被广泛采用。硬件描述语言的发展至今已有二十几年的历史,并已成功地应用到系统的仿真、验证和设计综合等方面

28、。到本世纪80年代后期,已出现了上百种的硬件描述语言,它们对设计自动化起到了促进和推动作用。但是,它们大多各自针对特定设计领域,没有统一的标准,从而使一般用户难以使用。广大用户所盼望的是一种面向设计的多层次、多领域且得到一致认同的标准的硬件描述语言。80年代后期由美国国防部开发的VHDL语言(VHSIC Hardware Description Language)恰好满足了上述这样的要求,并在1987年12月由IEEE标准化。它的出现为电子设计自动化(EDA)的普及和推广奠定了坚实的基础。自IEEE公布了VHDL的标准版本之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具

29、支持VHDL。与其他的HDL语言相比,VHDL语言具有许多优点,主要表现在以下几个个方面首先,VHDL语言可以支持自上而下和基于库的设计方法,而且还支持同步电路、异步电路、FPGA以及其它所及电路的设计。其范围之广是其它HDL语言所不能比拟的。例如,SFL语言只能描述同步电路。其次,VHDL语言具有多层次描述系统硬件功能的能力,可以从系统的数学模型直到门级电路。另外,高层次的行为描述可以与低层次的RTL描述和结构描述混合使用。VHDL语言能进行系统级的硬件描述,这是它的一个突出特点。其它HDL语言如Verilog等只能进行IC级、PCB级描述,而不能对系统级的硬件很好地进行描述,再如VHDL语

30、言可以自定义数据类型,这样也给编程人员带来较大的自由和方便。再次,在用VHDL语言设计系统硬件时,没有嵌入与工艺有关信息。当然这样的信息是可以用VHDL语言编写的。与大多数HDL语言不同,当门级或门级以上层次的描述通过方针检验以后,再用相应的工具将设计映射成不同的工艺(如MOS、CMOS等)。这样,在工艺更新时,就无需修改原设计程序,只要改变相应的硬度和工具就行了。此外,VHDL语言标准、规范、易于共享和复用。由于VHDL语言已经作为一种IEEE的工业标准,这样设计成果便于服用和交流,反过来就能更进一步推动VHDL语言的推广和完善。另外,VHDL语言的语法比较严格,给阅读和使用都带来了极大的方

31、便。2.2.1 VHDL语言程序设计的基本结构一个完整的VHDL语言程序通常包括实体(Entity)、构造体(Architecture)、配置(Configuration)、包集合(Package)和库(Library)5个部分,前4种是可分别编译的源设计单元。实体用于描述所设计的系统的外部接口信号;构造体用于描述系统内部的结构和行为;包集合存放格设计模块都能共享的数据类型、常数和子程序;配置用于从库中选取所需单元来组成系统设计的不同版本;库存放已经编译的实体、构造体、包集合和配置。所谓VHDL语言设计的基本单元(Design Entity),就是VHDL语言的一个基本设计实体。基本构成都有实

32、体说明(Entity Declaration)和构造体(Architecture Body)两部分组成。VHDL语言可以有以下3种形式的子结构描述语句:BLOCK语句结构PROCESS语句结构SUBPROGAMS结构2.2.2 VHDL语言的数据类型及运算操作符在VHDL语言中凡是可以赋予一个值得对象就称为客体(Object),客体只要包括以下3种:信号、变量、常数(Signal、Variable、Constant)。常数是一个固定值,所谓常数说明是对某一常数名赋予一个固定值。变量只能在进程语句,函数语句和过程语句结构中使用,它是局部量。信号是电子电路内部硬件连接的抽象、信号通常在构造体、包集

33、合和实体中说明。标准的数据类型有10种:1整数:整数32位;2实数:浮点数;3位:逻辑“0”或“1”;4位失量:位失量;5布尔量:逻辑“假”或逻辑“真”;6字符:ASC字符;7时间:时间单位fs,ps,ns,us,ms,src,min,hr;8错误等级:NOTE,WARNING,ERROR,FAILURE;9自然数,正整数:整数的子集;10字符串:字符矢量。可由用户定义的数据类型有:枚举类型,整数类型,实数、浮点数类型,数组类型,存取类型,文件类型,记录类型,时间类型(物理类型)。2.2.3 VHDL语言的主要描述语句在用VHDL语言系统描述系统关键行为时,按照语句的执行顺序对其进行分类,可以

34、分为顺序(Sequential)描述语句和并发(Concurrent)描绘语句。顺序描述语句只能出现在进程或子程序中,由它定义进程或子程序所执行的算法,语句中所涉及到的系统行为有时序流、控制、条件和迭代等;语句的功能操作有算术、逻辑运算、信号和变量的赋值,子程序调用等。在VHDL语言中顺序描述语句有以下几种:WAIT语句;断言语句;信号带入语句;变量赋值语句;IF语句;CASE语句;LOOP语句;NEXT语句;EXIT语句;过程调用语句;NULL语句。在VHDL语言中能进行并发处理的语句有:进程(PROCESS)语句;并发信号带入(Concurrent Signal Assignment)语句

35、;并发过程调用(Concurrent Procedure Call)语句;快(BLOCK)语句。2.2.4 VHDL语言构造体的描述方式前面已经提到,对硬件系统进行描述,可以采用3种不同风格的描述方式,即兴味描述方式、寄存器传输(或数据流)描述方式和结构化的描述方式。这3种描述方式从不同的角度对硬件系统进行行为和功能的描述。行为描述方式是对系统模型的描述,其抽象程度比寄存器传输描述方式和结构化描述方式更高,在行为描述方式的程序中大量采用算术运算、关系运算、惯性延时、传输延时等难于进行逻辑综合何不能进行逻辑综合的VHDL语句。1带入语句:是VHDL语言中进行行为描述的最基本的语句;2延时语句:有

36、两种延时类型,惯性延时和传输延时;3多驱动描述语句;4GENERIC语句:常用于不同层次之间的信息传递;RTL描述方式,是一种明确规定寄存器描述的方法。使用RTL描述方式应注意的几个问题:1“X”状态传递,实际上是不确定信号状态的传递;2寄存器RTL描述的限制 禁止在一个进程中存在两个寄存器描述 禁止使用IF语句中的ELSE项 寄存器描述中必须带入信号值3关联性强的信号应放在一个进程中所谓构造体的结构描述方式,就是在多层次的设计中,高层次的设计模块调用低层次的设计模块,或者直接用门电路设计单元来构成一个复杂的逻辑电路的描述方式。构造体结构描述方式的基本框架1ASIC级结构描述2插件板级结构描述

37、3系统级的结构描述在构造体的结构描述中,COMPONENT语句是基本的描述语句,该语句制定了本构造体中调用的是哪一个现成的逻辑描述模块。COMPONENT_INSTANT语句是结构化描述中不可缺少的一个基本语句。该语句将现成元件的端口信号映射成高层次设计电路中的信号。映射方法有两种:位置映射,名称映射。2.2.5 VHDL语言设计基本逻辑电路组合逻辑电路有简单门级电路、选择器、译码器、三态门等,本设计的时序电路主要介绍触发器,寄存器和计数器。触发器的初始状态应有复位信号来设置,抚慰信号对触发器复位的操作不同,使其可分为同步复位和非同步复位两种寄存器一般由多位触发器连接而成,通常有锁存寄存器和移

38、位寄存器。计数器份同步计数器和异步计数器两种。存储器按其类型可分为只读存储器和随机存储器。1存储器的数据类型存储器是众多存储单元的一个集合体,按单元号顺序排列。2存储的初始化在用VHDL语言描述ROM时,ROM的内容应该在仿真时事先读到ROM中,这就是所谓的存储器初始化。ROM(只读存储器)RAM(随机存储器)FIFO(先进先出堆栈)2.3 MAX+PLUS概述MAX+PLUSII 是Atltera提供的FPGA/CPLD开发集成环境,使用MAX+PLUSII的设计者不需要精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设

39、计自动转换成最终能够所需的格式。其设计速度非常快,对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内完成。特别是在原理图输入等方面MAX+PLUSII被公认为是最易使用,人机界面最友善的PLD开发软件,特别适合初学者使用。Altera是世界上最大可编程逻辑器件供应商之一。MAX+PLUSII界面友好,使用便捷,被誉为世界上最易用易学的EDA软件。MAX+PLUSII是本次毕业设计的开发平台,所以,他的熟练掌握直接关系到设计成功和设计效率的高低,在将来的工作学习中它也是非常重要的,因此,本次毕业设计中再软

40、件的学习上画了较大的时间和精力。2.3.1 MAX+PLUSII的特点MAX+PLUSII具有原理图输入、文本输入、波形输入等多种输入方式(Text Editor File)支持Altera公司的AHDL语言,同时兼容VHDL和Verilog HDL;图形输入方式(Graphic Editor File)不仅可以使用MAX+PLUSII中丰富的图形编辑库,而且可以使用几乎全部的标准EDA设计工具,如可识别EDIF网表文件、VHDL网表文件、ORCAD原理图以及Xilinx网表文件等。波形输入(Waveform Editor File)最具特点,它允许设计者通过只编辑输入波形,而由系统自动生成该

41、功能模块。在实际设计过程中,完全可以用文本文件来完成整个设计过程。当然也可根据各人习惯应用其他的输入方式,发挥各种输入方式的特点。MAX+PLUSII所提供的灵活性和高效性在同类软件中是无可比拟的,归纳起来,它主要有以下几个特点:1. 界面开放2. 与可编程逻辑器件结构无关3. 完全集成化的环境4. 丰富的设计库5. 模块化的设计工具6. 支持硬件描述语言7. 提供Megacore8. 具有Opencore特性9. 可运行多个平台除了上述特点之外,随着MAX+PLUSII版本的提高,将会有更多的特性得以开发和利用。2.3.2 MAX+PLUSII的设计流程MAX+PLUSII软件的设计流程包括

42、以下几个部分:设计输入、编译、逻辑分配、模拟仿真、器件编程和功能验证。下面就设计流程进行简要说明设计输入:可采用电路原理图输入、硬件描述、EDIF网表输入以及波形输入等。项目编译:主要完成器件的选择以及试配逻辑中和以及器件的装入,延时信息提取等逻辑分配:把逻辑分配给引脚和逻辑单元也就把输入、输出节点分配给器件的引脚。设计项目的模拟仿真:通过时序模拟一个项目的逻辑功能是否达到实际要求,模拟允许把项目在编辑到器件之前进行全面检查,已确定它在各种可能的条件下有正确的响应。器件编程:用仿真确认的配置文件经编程电缆配置PLD,即用编程文件对编程器件编程。器件的功能验证:将编程后的器件加入实际激励,进行测

43、试,以检查是否完成预计的功能。以上各部如果出现错误,可随时进行设计修改,重复上述过程直到正确为止。逻辑设计的输入有多种方式,设计者可以用自己喜欢的方式进行输入。2.3.3 MAX+PLUSII的使用MAX+PLUSII是一门必修的软件,在之前的学习中就已基本掌握了它的使用,这里简要介绍一下基本的操作,深入地介绍如何更加合理的利用这门软件。1. MAX+PLUSII界面MAX+PLUSII软件按使用对象可分为商业版、基本版和学生版,这三个版本的使用方法基本相同,其差别在于:商业版商业版软件为避免盗版,在并行口上虚假一个硬件“狗”,每次系统启动都要通过“狗”来核对用户的合法性。基本版时序分析、VH

44、DL语言综合等功能不能使用,不需“狗”的支持,只要向Altera申请一个基本授权妈就可以工作。学生版加以更多的限制,只能使用两种芯片。当我们将所需的版本安装完成后,以后再次启动MAX+PLUSII时,它的管理器窗口如图2.1。图2.1 管理窗口2. 生成一个新的文本文件(1) 在File菜单中选择New,如图2.2图2.2 (2) 选择Text Editor File然后按下OK按钮就会出现一个无标题的文本编辑器窗口,如图2.3。图2.33.灵活使用工具栏MAX+PLUSII的灵活运用直接关系到设计的数度和效率,另外,对于初学者,很容易因为操作的失误而造成文件的覆盖和丢失。下面介绍一下如何使用

45、工具栏来提高设计的速度。打开软件后,操作界面窗口上方工具栏如图2.4。1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21图2.4 工具栏工具栏的各个快捷键相当于菜单中的各个选项,设计者将一些设计时常用的操作设计快捷键放在工具栏中供设计者使用,这样可以大大的提高设计时操作的时间。各个快捷键这里都进行了编号,下表就各个快捷键的意义依编号进行了介绍,如表2.1。表2.1 快捷键定义表编号意 义功 能1New新建一个文件2Open打开一个文件3Save存储文件4Print打印文件5Cut剪切6Copy复制7Paste粘贴8Undo撤销9Help帮

46、助10Hierarchy display层次显示11Floorplan editor管脚分配12Compiler编译13Simulator仿真14Timing analyzer时序分析15Programmer下载16Project name项目名称17Save as另存为18Set file to current project打开项目下的文件19Save and check存储并检查20Save and compiler存储并编译21Save and simulator存储并仿真其中快捷键4、9、10和14不常用到,其它都时常用的操作,各个操作在菜单下面都可以找到,但是比较费时费力,熟练掌握工具包的使用对快速高效设计非常有用。18按钮为切换到项目名下的文件。它和Fileprojectset project to current file菜单功能相反,二者在使用的过程中,可以快速进行项目的切换。当一个模块需要修改时,可以打开底层文件进行修改,修改完毕后打开撒谎那个层文件,按下fileprojectset project to current file菜单就可以非常快捷的切换当前的操作文件。4. 合理使用帮助功能 MAX+PLUSII提供了强大的帮助功能,它的帮助功能包括操作帮助,硬件描述语言AHDL、VHDL等的帮助,还有一些其它的帮助,其中对于一个设计者最有用的还

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号