基于EP1C3T144的最小电源控制器设计的研究毕业论文.doc

上传人:laozhun 文档编号:3938454 上传时间:2023-03-28 格式:DOC 页数:56 大小:3.05MB
返回 下载 相关 举报
基于EP1C3T144的最小电源控制器设计的研究毕业论文.doc_第1页
第1页 / 共56页
基于EP1C3T144的最小电源控制器设计的研究毕业论文.doc_第2页
第2页 / 共56页
基于EP1C3T144的最小电源控制器设计的研究毕业论文.doc_第3页
第3页 / 共56页
基于EP1C3T144的最小电源控制器设计的研究毕业论文.doc_第4页
第4页 / 共56页
基于EP1C3T144的最小电源控制器设计的研究毕业论文.doc_第5页
第5页 / 共56页
点击查看更多>>
资源描述

《基于EP1C3T144的最小电源控制器设计的研究毕业论文.doc》由会员分享,可在线阅读,更多相关《基于EP1C3T144的最小电源控制器设计的研究毕业论文.doc(56页珍藏版)》请在三一办公上搜索。

1、基于EP1C3T144的最小电源控制器设计的研究 摘 要随着科技的发展,用电设备对高质量电源的需求日益增多,应用模拟电路控制电源的研究与应用己经发展多年,但它仍存在许多不足之处,集成系统和数字控制技术的完美结合与迅猛发展,带动电力电子电路控制领域向着更高频率,更低损耗的方向发展,现场可编程门阵列器件(FPGA)是近年出现的高集成电路,具有经济,高速度,低功耗,便于开发和维护(升级)等显著优点,并以其速度更快,集成度更高,通用性更强等优势,从单片机和DSP等集成电路中脱颖而出,成为目前电力电子控制领域中研究与应用的热点。基于以上优势,本课题研究了基于EP1C3T144的电源控制器,首先较为详细的

2、分析了电源控制器的各个模块以及目前比较流行的几种控制方式,在此基础上,选择了一种基于前缘调制的DPV-PC控制算法的数字化控制方案,并在Cyclone EP1C3T144芯片上进行控制系统的设计实现。所有设计均是利用VerilogHDL语言,在Quartus ii下完成设计和仿真验证,仿真结果显示控制性能的到了提升。关键字:数字电源控制器;EP1C3T144;数字PI控制;峰值电压-峰值电流控制AbstractWith the development of sei-teeh,the demand for high quality power supply isinereasing. After

3、 developing many years,anolog controller used on the field of inverters is very nature,but is also have many disadvantages With develoPmeni of iniegrated system and digital control,the power eleetronic circuits developed in the direetion of higher frequency and lower constunption. FPGA is a new type

4、 of high iniegrated cireuit appeared in recent years.It is economy,high speed,low consumption and easy to be developed and be maintained.At present,FPGA is a research hot spot in power electronic ceircuits control field for its faster speed,higher iniegratedand higher versatility ineomparison with M

5、CU and DSP.Based on the above-mentioned advantages,this Paper studied the digital coniroller of power suPPly based on EP1C3T144 First of all, A more detailed analysis of the various modules of the power controller and the current more popular several control methods .On this basis, the leading edge

6、modulation is selected based on the DPV-PC control algorithm of digital control scheme ,and achieved the control system on Cyelone EP1C3T144.All are designed using VerilogHDL language,to be completed and simulated in Quartusll.,Simulation results show that to improve the control performance.Keywords

7、: Digital Power Controller, EP1C3T144, Digital PI control,Peak Voltage-Peak Current control目 录第1章 绪论11.1开关电源的发展现状和趋势11.2数字化控制器简介21.3可编程逻辑器件的发展及其特点41.4可编程器件在电力电子控制技术中的应用61.5Cyclone系列芯片介绍71.6开发工具及设计语言111.7Quartusll简介131.8本课题研究的目的及意义14第2章 数字控制器的设计152.1数字电源开关结构152.2模数转换器162.3数字补偿器162.4数字脉宽调制方式192.4.1単缘调

8、制202.4.2双缘调制212.5基于前缘调制的DPV-PC控制算法242.6基于DPV-PC的克服延时算法272.7小结31第3章 硬件设计及其仿真结果323.1硬件设计323.1.1数字电源控制整体设计323.1.2控制回路外围硬件的设计323.2软件设计363.2.1整体框图363.2.2各个模块框图363.3数字控制器的仿真38总 结46致 谢47参考文献48附 录50第1章 绪论1.1开关电源的发展现状和趋势开关电源被誉为高效节能电源,它代表着稳压电源的发展方向,现已成为稳压电源的主要产品。高效节能和保护环境己成为当今世界的共识,世界各国已经陆续对家电与消费电子产品的待机功耗与效率开

9、始实施越来越严格的省电要求。节能开关电源的关键是要进一步减少电源工作损耗,使绝大部分功率送到负载上,自身损耗应越小越好,在能量传输系统中提高电源的工作效率。随着电力电子技术的高速发展,开关电源得到了广泛的应用,而日新月异的高科技产品也对开关电源提出了更高的要求。开关电源的模拟控制已比较成熟,但却又无法克服的缺点,现已开发出数字控制技术。主要有以下两种方法:第一种是单片机通过外接A-D转换芯片进行采样,采样后对得到的数据进行运算和调节,再把结果通过D-A转换后传到PWM芯片中,实现单片机对开关电源的间接控制。第二种是通过高性能数字芯片如DSP对电源实现直接控制,数字芯片完成信号采样A-D转换和P

10、WM输出等工作,由于输出的数字PWM信号功率不足以驱动开关管,需通过一个驱动芯片进行开关管的驱动。这样就可以简化控制电路的设计,由于这些芯片与比较高的采样速度和运算速度,可以快速有效的实现各种复杂的控制算法,实现对电源的有效控制,有较高的动态性能和稳压精度。随着数字芯片和电源技术的发展,现在出现了为电源控制而开发出来的控制处理器, 它主要由高速A-D转换器,数字PID补偿器和数字PWM输出三部分组成,反馈环路的控制由它来完成,中央处理器作为管理模块应用在电源上。采用新的技术大大降低了A-D转换需要的时间,可以达到兆赫级采样频率。虽然数字控制有着明显的优势,但它还不能完全满足开关电源的要求,而能

11、达到要求的又过于昂贵,所以应用还并不广泛。但随着控制处理器技术的提出,数字控制技术在开关电源中必将得到广泛的应用。开关电源的未来趋势:1.高频化高频化是目前开关电源技术发展的主要方向之一,也是高频开关电源整流器发展的主要趋势之一。2.模块化模块式结构除了具有很强的适应性外,还有一些很重要的优点,如:系统初始投资少,扩容非常方便,安装运输方便,冗余方式工作额外投入很少,维护快捷方便等。3.智能化智能化是现代通信系统对其基础供电电源高标准要求的必然结果,是新型单片机技术在开关电源领域应用的完美体现。4.标准化目前高频开关整流器产品在设计时需满足的标准,除自身规范要求外,主要有电磁兼容标准和安全标准

12、两种。对于开关电源的设计,先进的功率半导体器件可以方便的得到,先进的电路拓扑和控制方式已经开始应用,现在所应该做的就是想办法去实现,以提高技术水平,同时创造更好的应用机会和市场份额。1.2数字化控制器简介随着电力电子技术的高速发展,开关电源得到了广泛的应用,而日新月异的高科技产品对开关电源提出了更高的要求。开关电源模拟控制技术发展了很多年,己经比较成熟,但是却无法克服固有的缺点。而开关电源采用全数字化控制与模拟控制相比,具有以下优势。1.数字控制器温度漂移小,抗干扰能力强,稳定性好,系统的可靠性高易于标准化。由于数字控制芯片的高可靠性,必然导致整个变换器系统的可靠性提高。 2.可以有效的提高电

13、源的一致性,克服模拟控制带来的产品性能分散性在模拟控制应用中,不可避免地将遇到因器件参数离散造成的电源性能偏差,只有在设计时不断的调整系统参数来尽量减小参数分散性对系统性能的影响;在数字控制应用中,由于采用统一标3.系统监控和维护方便。系统一旦出现故障,可以很方便地通过RS232接口或者RS485接口或者USB通讯接口进行调试,故障查询,历史记录查询故障诊断,软件修复等;也可以通过MODEM或者Web/SNMP网络远程操作这样就可以以较低的成本完成自我校正和远程服务,也给厂家的售后服务带来很大的方便。4.采用数字控制方案,使得许多高级,复杂的算法有可能通过数字控制器来实现。相对于传统的应用广泛

14、的PID控制器,在上个世纪,人们提出许多高级,复杂的控制策略以满足用户对控制特性的越来越高的要求,其中应用比较成功的有模糊控制,神经网络控制,无差拍控制,自适应控制等。这些新型的控制策略,与传统的PID控制策略一起使用,可以取长补短,有效的提高系统的性能。因此使用数字技术来设计和开发开关电源势在必行。目前实现开关电源数字控制主要有以下两种方法。 使用单片机通过外接AD转换芯片进行采样,采样后对得到的数据进行运算和调节,再把结果通过DA转换后传到P删芯片中,实现单片机对开关电源的间接控制。这种方法的技术目前已经比较成熟,设计方法容易掌握,不但克服了模拟控制的固有缺陷,而且对单片机的要求不高,成本

15、比较低。但是控制电路由于用多个芯片,电路比较复杂;单片经过AD/DA转换,有较大时延,势必影响电源的动态性能和稳压精度。也有的单片机集成了PWM输出,但开关电源的高频化发展,一般单片机的时钟频率有限,产生的PWM输出频率和精度成正比,无法产生足够频率和精度的PWM输出信号,并且单片机采用的是冯诺依曼总线结构,所以在运算量大的实时控制系统中很难有所作为。使用高性能数字芯片如DSP对电源进行直接控制,数字芯片完成信号采样AD转换和PWM输出等工作,由于输出的数字PWM信号功率不足以驱动开关管,需要通过一个驱动芯片进行开关管的驱动。这样就可以简化控制电路的设计,由于这些芯片有较高的采样速度(TMS3

16、20AD/DA转换一次完成只需500ns)和运算速度,可以快速有效的实现各种复杂控制算法,实现对电源的有效控制,有较高的动态性能和稳压精度。但是DSP芯片结构复杂,所有任务共享处理器内核寄存器,内部和外部存储器,OMA引擎以及10外设等资源,这些任务通常被称为“线程”。一个任务很有可能和其他任务相互影响,这类影响一般出乎人们的意料,不容易察觉。而且,大部分DSP算法必须“实时”运行,因此,意外的延时或者等待都会导致系统出现故障。另外传统通用的DSP,数据传输仍然是串行的,并非针对实时信号处理而设计,数据的输入输出能力相对于其处理能力要低得多,在一些电力电子系统的控制中,难以满足实时性,因此性能

17、受到一定的限制。当实际要求进行更高速的处理运算时,他的运算速度和输入至输出的延时使得系统很难达到要求的精度。而一些具有并行处理能力的DSP其价格一般很高,多是为实现特定功能而设计的,通用性比较差。1.3可编程逻辑器件的发展及其特点随着微电子设计技术与工艺的发展,数字集成电路从电子管,晶体管,中小规模集成电路,超大规模集成电路(VLSIC)逐步发展到今天的专用集成电路(ASIC)。ASIC的出现降低了产品的生产成本,提高了系统的可靠性,缩小了设计的物理尺寸,推动了社会的数字化进程。但是ASIC因其设计周期长,改版投资大,灵活性差等缺陷制约着它的应用范围。可编程逻辑器件随着微电子制造工艺的发展取得

18、了长足的进步。从早期的只能存储少量数据,完成简单逻辑功能的可编程只读存储器,紫外线可擦除只读存储器和电可擦除只读存储器,发展到能完成中大规模的数字逻辑功能的可编程阵列逻辑和通用阵列逻辑,今天已经发展成为可以完成超大规模的复杂组合逻辑与时序逻辑的复杂可编程逻辑器件(CPLD)和现场可编程逻辑器件(FPGA)。随着工艺技术的发展与市场需要,超大规模,高速,低功耗的新型FPGA/CPLD不断推陈出新。新一代的FPGA甚至集成了中央处理器或是数字处理器内核,在一片FPGA上进行软硬件协同设计,为实现片上可编程系统提供了强大的支持。现场可编程门阵列(FPGA)是由大量的门和触发器组合在一起的积木式结构的

19、芯片,是在全定制ASIC的基础上发展出来的,它克服了全定制AS工C不够灵活的缺点,非常适合大规模数字信号处理和数字控制场合。FPGA作为一种大规模可编程逻辑硬件平台,其具有如下特点:(l)速度快:虽然不同公司不同系列的FPGA/CLPD的速度各不相同,但其运行的时钟均可达几十MHZ甚至一百多MHz,已是远大于DSP和各类的微控制器。现在也已有300MHz的产品问世。(2)规模大。目前市场上FPGA的密度从几千系统门到数百万系统门。在电源控制系统设计过程中,可以把所有的外电路在一片芯片中完成,减少PCB的面积,提高系统可靠性。(3)灵活性大。由于FPGA不仅对其内容可重复编程,对其I/0口也可以

20、重新配置。这样,当发现现有的系统有缺陷或需要对系统进行升级时,则有可能不需要改变PCB,而只对FPGA重新编程即可,从而降低成本,缩短开发周期。(4)设计开发简单。为了提高工作效率,FPGA的开发软件中集成有不同的开发方式,以适应各种不同的电子工程师的需要,如原理图设计方法,ABEL语言和HDL语言(包括VHDL语言和verilogHDL语言)。日前,使用最广泛的是HDL语言,可大大降低硬件电路设计的难度,根据系统的行为和功能需求,“自上而下”地逐层进行设计描述,综合,优化,仿真与验证,直到完成整个器件的设计。另外,还可以利用各FPGA公司提供的功能内核(IP核),使设计更加快速和灵活。(5)

21、由于FPGA规模大,速度快,所以在FPGA内可以实现各种复杂的功能,如32位算法函数,流水线乘法等复杂逻辑,以及复杂的控制函数和高精度的速度调节等控制功能。1.4可编程器件在电力电子控制技术中的应用数字化控制已广泛应用于电力电子电路的各个方面,满足了电力电子电路日趋高频化和复杂化的发展需要,在提高系统效率,改善系统性能等方面发挥着越来越重要的作用。目前,单片机在电力电子电路的控制系统中应用已经比较成熟;DSP,FPGA也在电力电子电路的控制系统中得到了日益广泛的应用。随着电力电子电路的日趋高频化和复杂化,各种控制芯片的混合使用将成为控制电路的研究热点。(1)单片机+DSP结构:比如,在UPS中

22、,DC/DC,AC/DC的控制可以采用单片机,而DC/AC的控制则采用运算速度和频率更高的DSP芯片。(2)DSP+FPGA结构:DSP具有软件的灵活性,而FPGA硬件集成度高,使其具有强大的并行处理能力,提高了系统运行效率,能够处理复杂算法。因此,本结构有助于在设计中协调软,硬件之间的关系。(3)嵌入DSP模块的FPGA:将具有基本数字信号功能的DSP模块嵌入到FPGA中,这样FPGA提供的DSP性能可以达到每秒1280亿次MAC(乘法并累加),这将大大高于目前主流DSP的性能。因此,也成为目前研究的一大热点。实际设计中,任意任务或者线程的实现不论使用DSP还是FPGA,其复杂程度都差不多。

23、FPGA能够更自然地实现大部分数字信号处理算法。每一任务都分配有自己的资源,独立运行。类似汇编语言的程序中处理连续流信号过程链的每一步,为每一步提供专用资源,使得吞吐量大大提高。另外,可以根据任务需求来定制FPGA资源分配。按照逻辑划分来分解任务。这有助于定义好任务之间的接口,大大消除了任务之间意外的相互影响。由于每一任务都能连续运行,对存储器的要求大大低于DSP,而DSP必须以批处理的方式来缓冲数据和程序。由于FPGA在整个器件中分配存储器资源,每一任务几乎都永久分配了所需的专用存储资源。这样实现了任务之间的高度隔离。结果,对一个任务的修改不会导致另一任务出现异常行为。因此,开发人员能够以可

24、预测的方式更合理直接地隔离并修复缺陷。FPGA划分,测试台以及仿真模型的应用提高了集成和回归测试的效率,能够迅速隔离问题,加速了开发过程,简化了产品维护,并能够方便地增加新功能。这是FPGA相比于DSP开发过程的关键优势,随着设计复杂度的提高以及开发团队规模的扩大,这一优势会更加突出。1.5Cyclone系列芯片介绍本文采用的控制芯片是Altera公司推出的低成本FPGA, Cyclone系列芯片。该系列芯片主要定位在大量,且对成本敏感的设计中。Cyclone器件采用0.13um的工艺制造,其内部有锁相环, RAM块,逻辑容量2910-20060个Cyclone系列FPGA特性见表1-2。 表

25、1-2 Cyclone系列FPGA主要特性 特性EP1C3EP1C3EP1C3EP1C3EP1C3LE2910400058901206020060M4K RAM1317205264锁相环12222最大用户IO104301185249301Cyclone 架构:Cyclone器件具有丰富的逻辑资源和存储资源,时钟资源管理电路以及很高的IO资源。Cyclone结构如图2-3所示,垂直结构的逻辑单元(LE),嵌入式存储块和锁相环(PLL)周围环绕IO单元(LOE),高效的内部链接和低延时的时钟网络,保证了每个结构单元和数据信号的联通性。EP1C3TIO块M4K存储器PLLLAB1-3 Cyclone

26、 FPGA的平面布局Cyclone的器件周围分区工作的IO单元被分为不同的IO块,在消耗最小裸片面积的情况下达到最优化的性能。主要性能包括:291020060个LE;高达294912 RAM bits;支持LVTTL,LVCOMS,SSTL-2和SSTL-3 IO标准;支持60MHz 和33MHz,60bit和32bit的PCI标准;支持高速(640Mbps)LVDS IO;支持低速(311Mbps)LVDS IO;多至两个PLL可以提供全局和局部时钟;8个内部全局时钟网络;支持外部存储器,包括DDR,SDRAM,FCRAM和单数据速率的SDRAM;支持多种IP核;Cyclone是基于查表(l

27、ook-up Table)结构的,它的逻辑阵列(Logic Array)由逻辑阵列块(Logic Array Blocks ,LABs)组成,下图1-4为cyclone的LAB的结构。相邻LAB互连LAB局部互连1-4 Cyclone LAB的结构 每一个LAB由10个LE,LE进位链,LAB控制信号,内部连线,LUT链和寄存器链连接线组成。LAB局部互连能够驱动同一LAB中的LE。行互连,列互连以及同一LAB中LE的输出驱动L朋局部互连。左右两侧的相邻LAB,PLL和M4KRAM块也可以通过直接互连驱动LAB局部互连。Cyclone FPGA的时钟资源:Cydone内部有8个内部全局时钟网络

28、,可以由全局时钟管脚CLKO一3,复用的时钟管脚DPCLKO7,锁相环(PLL)或者是内部逻辑来驱动。CycloneFPGA中的PLL只能由全局管脚CLKO3来驱动。CLKO和CLKI可以作为PLL1的两个可选的时钟输入端,也可以作为一对差分LVDS的时钟输入管脚,CLK0作为正端输入(LVDSCLKlp),而CLKI作为负端输入(LVDSCLK1n)。同样,CLK2和CLK3可以作为PLL2的两个可选的时钟输入端,也可以作为一对差分LVDS的时钟输入管脚。Cyclone的管脚可以支持单端和差分LVDS的接口电平,支持PCI总线标准,其IOE内部示意图如图1-5所示。管脚上有可编程的上拉电阻,

29、可选的PCI嵌位二极管和总线保持电路。输出驱动器可以控制驱动电流强度,反转斜率和漏极开路输出。输出使能输出ttt可编程上拉电阻内部逻辑总线保持电阻PCI钳位二极管VCCIOVCCIO输入1-5 Cyclone器件的LOE结构Altera公司为Cyclone的低成本方案专门设计了一种低成本串行加载芯片,有EPCSI和EPCS4两款。Altera公司的Cycl。ne系列芯片与其他高性能的芯片比起来,功能更加简单,基本,结构简单,封装布线更加简化,尺寸更小,价格更是低廉很多。非常适合对成本要求严格的设计。结合成本和本课题需求,选用Cyelone系列的 EPIC3T144C8芯片.1.6开发工具及设计

30、语言随着集成电路设计变得越来越复杂,门级电路描述不易于管理和理解的缺点显得越来越突出,这使得用更抽象的方法表达电路设计成为必要。就像20世纪70年代高级编程语言取代汇编语言一样,从20世纪90年代以来,硬件描述语言(HDL)整逐步取代门级原理图。逻辑综合工具可以完成HDL到门级电路的转化。在电路设计中使用HDL和逻辑综合工具不再是一种选择,而是一种必要。HDL是硬件描述语言(HardwareDescriptionLanguage)的缩写。HDL有多种,但最流行和通用的只有verilogHDL和vHDL两种。VerilogHDL起源于1983年的GDA(GarewayDesignAutomati

31、on),而HDL出现较晚,最初是由美国国防高级研究局(DARPA)创立的。VHDL是Very High Speed Integretered CireuitHDL的缩写。VHDL是在ADA语言基础上发展起来的。尽管VHDL得到美国国防部的支持,并于1987年成为工EEE标准(IEEEStandard1076-1987),但由于ADA语言的使用者远远少于C语言,它的普及程度也就远远落后于VerilogHDL。VerilogHDL是在应用最为广泛的C语言基础上发展起来的一种硬件描述语言,它是由GDA公司的Phi1 Moorby于1983年创建的,最初只设计了一个仿真与验证工具。之后又陆续开发了相关

32、的故障模拟与时序分析工具。1985年Moorby推出了它的第三个商用仿真器Veri1og-XL,获得了巨大的成功,从而使VerilogHDL成为该公司的独家专利。1990年CADENCE公司公开发表了VerilogHDL,并成立OVI(OpenVeri1ogInternational)组织以促进#VerilogHDL语言的发展。1995年VerilogHDL成为IEEE标准,即工EEEStandard1364-19951。VerilogHDL简单而优美。描述硬件单元的结构简单且易读。相比较而言,VHDL的长度是VerilogHDL的两倍。设计者只要学会Verilog一门语言,就可以应付逻辑设计

33、中所有方面的事情。例如在设计中,所需要的功能模块,层次结构,测试向量以及人及交换等都可以用Verilog来实现。VerilogHDL不仅简单,规范,而且容易学习和掌握。它非常类似于C语言编程。因为c语言是一种应用最为广泛的编程语言,绝大部分设计者都熟悉c语言,所以学习VerilogHDL也就容易多了。在美国的许多著名高校如斯坦福大学,南加州大学等,都将VerilogHDL作为主要的授课内容,这与我国高校偏重VHDL语言教学的现实形成了明显反差。基于VerilogHDL所具有的优点,本课题中使用的就是VerilogHDL语言。1.7Quartusll简介1.本设计主要在硬件描述开发环境Quart

34、us ll7.2下完成的。Quartus11 7.2是Altera公司的FPGA开发工具,界面友好,使用便捷,被誉为业界最易学习的EDA软件。它支持原理图,VHDL语言和Altera:公司自己的语言AHDL的文本文件,以及波形,ED工F等格式的文件为设计输入,也支持这些文件的任意混合设计。其硬件描述语言输入提供模板输入法,可以大大提高输入速度和准确率。逻辑综合工具是把HDL语言翻译成最基本的与或非门的连接关系(网表),输出edf/vqm文件,导给CPLD/FPGA厂家的软件进行试配和布线的工具。虽然Altera设计综合软件的经验还不够丰富,但是只有Altera自己对其芯片的内部结构最了解,所以

35、Quartusll其内嵌综合工具的一些优化策略甚至优于其他专业综合工具。Quartusll集成的实现工具主要有AssignmentEditor(约束编辑器,LogicLock(逻辑锁定工具),PoworfitFitter(布局布线工具),ChipEditor(底层编辑器),DesignSpaCeExp1oer(设计空间管理器)和DesignAssistant(检查设计可靠性)等。Quartusn内嵌的后端辅助工具主要有Assemb1er(编程文件生成工具),Programlner(下载配置工具)和PowerGauge(功耗仿真器)。Quartusll具有门级仿真器,可以进行功能仿真和时序仿真,

36、能够产生精确的仿真结果。为了方便设计者充分利用己有资源,Atera公司提供了许多免费的仲软核,如DFF触发器,全加器等。另外也支持主流的第三方EDA工具,如Synopsys,Candenee,Synplicity,Mentor等。由于其仿真的测试矢量文件(*.Vec格式简单,可以采用外部生成后输入到A1tera仿真环境中的方注.,所以增强后的Quartusll仿真能力较强。1.8本课题研究的目的及意义数字化控制系统以其突出的优点逐渐取代模拟控制电路,在电力电子电路领域的各个方面已经得到了广泛的应用随着微电子的发展,高速度,高集成度的现场可编程门阵列(FPGA)与数字化控制技术的结合成为数字化控

37、制系统发展的必然趋势。结合数字控制优点及FPGA长处,本文提出一种前缘峰值电压-峰值电流控制算法基于EP1C3T144的开关电源数字化控制系统方案,并完成了设计仿真以及EP1C3T144最小系统的设计,将开关电源控制器集成在EP1C3T144芯片上,一方面提高了控制芯片的工作效率,另一方面减少控制芯片的外围分立元件,从而达到提高系统工作可靠性,增强系统的灵活性,适应性,减少PCB面积,降低成本。基于FPGA的灵活性和可任意配置特性,本系统中各个功能模块通过单独配置,可以作为独立模块下载到芯片中使用,具有很强的通用性;当需要系统升级或者系统功能扩展时,只需将现有系统移植到更高级的FPGA芯片,并

38、加入需要的功能模块即可,因此具有很强的移植性。第2章 数字控制器的设计本章对数字控制器各个模块作以介绍,并在此基础之上对前缘数字峰值电压-峰值电流控制算法进行分析研究。2.1数字电源开关结构数字开关电源采用微控器作为核心,完成采样 控制 算法 输出 显示 等功能,其结构如下图全控型功率器件滤波环节数字脉宽调制DPWM数字补偿器COMP器模数转换器件ADC参考电压输出电压输如电压2-1 数字控制开关电源基本架构 开关电源数字控制器的三大主要结构单元 模数转换器(ADC)数字补偿器( COMP )数字脉冲调制器(DPWM ),ADC实现模数信号的转换,数字补偿器COMP根据数字误差信号进行相应的补

39、偿得到给定信号,DPWM实现从数字信号到时间信号的的转换。接下来分别介绍重要的两个模块数字补偿器和数字脉宽调制器。2.2模数转换器模数转换器(ADC)将电路输出的模拟信号转换成数字信号送给控制单元,根据转换速度和精度的需要,此次设计选择8位 400ns转换速度的ADC7825芯片作为模数转换器件。2.3数字补偿器在数字开关变换器中,通常用的是比例-微分(Porportion-integration,PI)或者比例-微分-积分(Porportion-integration-differentiation,PID)补偿器是关于输出电压与参考电压的误差的时间函数。设实际输入信号y(t)与给定参考信号

40、ref(t)构成的偏差信号为则模拟PID补偿器的输出为d式中,Kp为比例系数 ;Ki积分系数;Kd微分系数。当Kd=0时,模拟PID补偿器退化为模拟PI补偿器,PID补偿器三个环节的主要功能和特点如下。(1) 比例环节:迅速反应控制信号的偏差e(t),偏差一旦产生,控制器立刻产生控制作用,以减少偏差。但是,比例环节不是能消除稳态误差,比例系数太大可能引起系统不稳定。(2) 积分环节:消除系统的静态误差,从而提高系统的误差度,结合比例调节,使系统的稳态性能提高,加强积分环节会使系统出现超调加大,甚至出现震荡。(3) 微分环节:反应偏差的变换趋势,为系统引入早期调整信号,加快系统响应速度,减少超调

41、量,从而改善系统的性能。将模拟PID补偿器离散化即可得到数字PID补偿器,数字PID的比例 积分 微分环节功能与模拟PID补偿器的一致,在数字PID中,可以用程序来灵活实现数字PID补偿算法,数字PID算法在数字控制中应用普遍,通常使用的有位置式PID 增量式PID 积分分离PID 变速积分PID等,下面分别介绍。(1)位置式PID算法在数字补偿器中,以一系列采样时刻kTsam代表连续时刻t,则采样kTsam时刻实际输入值y(kTsam)与给定参考值ref(kTsam)构成的偏差为式子中,k为采样序列(k=1 2 3 )Ksam为采样周期。 以求和代替积分,数字补偿器的积分环节近似为以一阶后向

42、差分微分,数字补偿器的微分环节近似为于是可得到离散PID表达式(位置式PID算法)为增量式PID算法当系统的需要的是控制量的增量时候,应采用增量式PID算法,根据原理可得由2-4和2-5进一步可得到增量式PID算法积分式PID算法积分环节的主要作用是消除静差,提高控制精度,当测量值大幅度变化时,短时间内系统出现了较大的偏差,积分环节累积的误差致使控制量超出了极限,引起系统较大超调量,甚至引起震动。积分分离PID算法的思想是:当e(k)较大时,就取消积分作用,以免积分累积使系统不稳定,超调过大,当积分较小时,引入积分环节,消除静态误差,提高控制精度。此时引入适当的误差阈值ep0。当le(k)le

43、p时,取消积分作用,此时的PID算法(即PD算法)为 当le(k)lep时,引入积分作用,此时的PID算法为(4) 变速积分PID算法普通PID算法中,Ki时不变的,但是在系统积分相的要求是偏差大时减弱积分作用,偏差小时加强积分作用。积分系数取大了会引起较大超调,甚至震荡;积分取小了会增大消除静态误差的时间,变速PID的思想是设法变换积分的累积速度,使得其与偏差大小相对应,偏差越大积分越慢,偏差越小积分越快。设置关于e(k)的函数h(e(k),作为e(k)的系数,当le(k)l增大时,h减小,反之增大。系数h与le(k)l的函数关系可以是线性或是非线性,其值在0到1内变化。变速积分PID算法由

44、下式决定.此次控制芯片EP1C3T144的逻辑单元有限,另外根据工程经验,PID参数太多调节比较麻烦,因此选择增量式PI,其表示如下2.4数字脉宽调制方式在开关电源中,调制方式即利用电压的改变控制功率开关管驱动波形的改变,最后表达到控制输出电压的目的。开关电源中主要有一下两种方法调整输出电压:第一种,保证开关管的频率不变,即开关管的周期T=Ton+Toff保持不变,控制功率管的导通时间Ton,常称为脉宽调整,即PWM。因为PWM的周期不变,改变脉宽的宽度,即所谓的占公比(D=Ton/T)与脉宽成正比:第二,保持开通时间不变,改变工作频率,常称为脉冲频率调整,即PFM。PFM调制改变了工作频率,

45、也改变了工作周期,但是脉冲宽度保持没变,所以占公比与周期成反比,下边就数字脉宽调制方式的两种方式作一介绍:2.4.1単缘调制単缘调制分为前缘调制和后缘调制。如图2-2a所示为后缘调制的模拟实现方式,其中V(t)为控制变量,Vsaw(t) 为后缘锯齿波信号,d为导通占公比,Ts为开关周期。通过比较V(t)和Vsaw(t)的大小,产生开关控制信号个g(t)。在后缘调制中,功率开关管在每个周期的开始导通,Vc(t)=Vsaw(t)时关断。使用后缘控制的控制器,能够对导通时间所发生的如何扰动立刻做出反应,但是,如果扰动发生的关断状态,那么就必须等到下一个开关周期才能处理。图 2-2 b 为后缘调制方法

46、的数字实现原理。其中Vc(t)是假定模拟形式输入的给定信号,而Vc(t)则是在每个周期开始处将Vc(t)量化后的给定信号,基于数字实现的后缘调制方式的原理与模拟实现的原理一郅,不同之处在数字量化存在一定误差。图2-3 a)2-3b) 为前缘调制的模拟实现方式和数字实现方式。相应的,Vsaw(t)为前缘锯齿波信号,开关控制信号个g(t)的大小有式子3-13确定。在前缘调制中,功率开关管在每个开关周期的开始关断,经过时间(1-d)Ts后开通,并且一直保持保持当前的周期结束。与后缘调制的控制器能够过关断时间所发生的扰动进行反应,同样的,如果扰动发生在导通时,那么也需要等下一个开关周期才能处理。単缘调

47、制通常在PWM比较器的输出端加一个闭锁,因此对扰动的响应有一个周期的扰动。Vsaw()Vcvg(t)ttttvg(t)Vsaw()Vca)模拟实现方法 b)数字实现方式2-2后缘调制 vg(t)vg(t)Vsaw()VcVsaw()Vctttta)模拟实现方法 b)数字实现方式 2-3前缘调制 2.4.2双缘调制 双缘调制,又称为三角调制,分为三角前缘调制和三角后缘调制,图2-4 2-5 分别为,三角前缘调制,三角后缘调制,Vsaw(t)为三角波信号,V(t)为控制变量,2-4 b) 2-9 5) 中Vc(t)为模拟形式给定信号,而Vc(t)则是在每个周期开始处将Vc(t)量化后的给定信号。同样的,两种调制方式的开关控制信号g(t)的大小也有式2-13确定。以dk1和dk2表示第k(k=1,2,3)个周期内的两段有效的Pwm 信号,因为给定信号在一个周期内是编号的,通常dk1=dk2.在三角后缘调制中,功率

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号