密码锁控制电路毕业论文.doc

上传人:文库蛋蛋多 文档编号:3942078 上传时间:2023-03-28 格式:DOC 页数:26 大小:1.76MB
返回 下载 相关 举报
密码锁控制电路毕业论文.doc_第1页
第1页 / 共26页
密码锁控制电路毕业论文.doc_第2页
第2页 / 共26页
密码锁控制电路毕业论文.doc_第3页
第3页 / 共26页
密码锁控制电路毕业论文.doc_第4页
第4页 / 共26页
密码锁控制电路毕业论文.doc_第5页
第5页 / 共26页
点击查看更多>>
资源描述

《密码锁控制电路毕业论文.doc》由会员分享,可在线阅读,更多相关《密码锁控制电路毕业论文.doc(26页珍藏版)》请在三一办公上搜索。

1、密码锁控制电路 摘 要随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的亲呢。电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。本文介绍的是通过芯片控制的电子密码锁。本论文的电子密码锁利用数字逻辑电路,实现对门的电子控制,并且有各种附加电路保证电路能够安全工作,具有极高的安全系数。关键词电子密码锁、电压比较器、555单稳态电路、计数器、JK触发器。

2、Abstract With the improvement of living standards, how to achieve family security issues that have become prominent in particular, the traditional mechanical lock because of its simple structure, the prize was not uncommon events, electronic lock because of the confidentiality of its high flexibilit

3、y in the use of good , The safety factor, by the vast number of users Qinne. Electronic locks through a password to enter the control circuits or chips, so as to control mechanical switches closed, the completion of the lock, closed the task of electronic products. Its a lot of species, there is a s

4、imple circuit products as well as chip-based products more cost-effective. This article describes the chip is controlled by electronic locks. In this paper, electronic locks use of digital logic circuits, the realization of the electronically controlled door, and there are a variety of additional ci

5、rcuitry to ensure the safety circuit, with a very high safety factor.【Key words】electronic locks, the voltage comparator, 555 single-state circuit, counters, JK flip-flop.目 录第1章 引言 1第2章 电子电路设计基本方法 2 21 综合电子系统的分级 2 22 “自顶向下”的设计方法2 23 “自底而上”的设计方法 32. 4 电子电路设计的基本原则 4第3章 系统设计论证 53 .1 密码锁控制电路的设计思路 53.1.1

6、 总体设计 53.1.2 总体电路设计 63 .2 元器件基本知识 73.2.1 555定时集成芯片 73.2.3 JK触发器103.2.3 电压比较器133.2.4 计数器 133 .3 设计原理分析 153.3.1 键盘输入、密码修改、密码检测、开销及执行电路 163.3.2 报警电路 173.3.3 报警次数检测及锁定电路 183.3.4 备用电源电路 18结束语 21致谢辞 21参考文献 22一、题目背景和意义随着社会物质财富的日益增长,安全防盗已成为社会问题。而锁自古以来就是把守门户的铁将军,人们对它要求甚高,既要安全可靠地防盗,又要使用方便,这也是制锁者长期以来研制的主题。目前国内

7、,大部分人使用的还是传统的机械锁。然而,眼下假冒伪劣的机械锁泛滥成灾,互开率非常之高。所谓互开率,是各种锁具的一个技术质量标准,也就是1把钥匙能开几把锁的比率。经国家工商局、国家内贸局、中国消协等部门对锁具市场的调查,发现个别产品的互开率居然超标26倍。为何弹子锁的“互开率”会如此之高?据有关专家人士剖析,弹子锁质量好坏主要取决于弹子数量的多少以及弹子的大小,而弹子的多少和大小受一定条件的限制。此外,即使是一把质量过关的机械锁,通过急开锁,甚至可以在不损坏锁的前提下将锁打开。机械锁的这些弊端为一种新型的锁-电子密码锁,提供了发展的空间。电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控

8、制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。现在应用较广的电子密码锁是以芯片为核心,通过编程来实现的。二、发展现状及发展趋势近几年来随着人们生活水平的提高,人们的安全意识也在不断的增高,各种技术不断的发展,以前普通的锁具已经不能满足现在单位、家庭的需要,随着小偷新的作案方式的出现,人们对锁具系统的要求的越来越严格!现在的锁具设备有很多种,但是现在比较流行的密码锁日益受到人们的重视,其优点主要有一下几点:1、不用钥匙,不用电源,不用电池,没有废弃污染物。2、全机械结构,能承受相当恶劣的外部环境,使用范围广。3、实际密码量大保密性

9、能高,试探开锁的概率几乎为零。4、结构简单,结实可靠。现在密码锁基本在市场上普及,它的工作性能和价格也为人们所接受。在科技日益发展的今天,人们对锁具的的概念已经发生根本的变化。密码锁具的诞生为人们的财产安全提供了依靠,未来的发展前景应该是很不错的,以前人们的亲自防备,已经渐渐由技防所取代,这种技具体体现在锁具系统中。 现在的锁具已经发展到不仅能够起到不被盗取的功能,同时还兼有防盗的功能。三、主要内容与待解决的问题 1设计内容要求和技术参数在本设计中要求并高度密码锁控制电路,使其满足以下要求:1)开锁条件是:拨对密码,则门能够打开,如果密码不正确则接通警铃报警。2)密码设计条件:要求至少4位密码

10、,并且可以修改密码。3)报警电路要求:报警时长为30秒,如果30秒内输入正确密码,则停止报警。这种密码锁的优点:1、不用钥匙,没有废弃污染物。2、全机械结构,能承受相当恶劣的外部环境,使用范围广。3、密码可变。 用户可以经常更改密码,防止密码被盗,同时也可以避免因人员的更替而使锁的密级下降。4、误码输入保护。当输入密码多次错误时,报警系统自动启动。5、结构简单,结实可靠。6、电子密码锁操作简单易行,一学即会。2设计应完成的技术资料1)方案论证。2)原理框图、电路原理图。3)电路工作原理说明。4)电路相关元器件说明。四、设计方法与实施方案 设计本课题时构思了两种方案:一种是用以AT89C2051

11、为核心的单片机控制方案;另一种是用以74LS112双JK触发器构成的数字逻辑电路控制方案。考虑到单片机方案原理复杂,而且调试较为繁琐,所以本文采用后一种方案。电路由两大部分组成:密码锁电路和备用电源(UPS),其中设置UPS电源是为了防止因为停电造成的密码锁电路失效,使用户免遭麻烦。密码锁电路包含:键盘输入、密码修改、密码检测、开锁电路、执行电路、报警电路、键盘输次数锁定电路。五、进度计划 阶 段起始日期终止日期进 度技术指标第一阶段2008.11.102008.11.14资料收集和撰写开题报告丰富全面第二阶段2008.11.172008.11.21方案确定与论证至少两种方案第三阶段2008.

12、11.242008.12.05单元电路确定及计算符合精度要求第四阶段2008.12.082008.12.19电路进行调试实现功能第五阶段2008.12.222009.01.02撰写毕业论文及答辩详细全面六、参考资料 1 阎石. 数字电子技术基础. 高等教育出版社. 1998(2)2 台方. 微型计算机控制技术. 中国水利水电出版社. 20013 胡汉才. 单片机原理及其接口技术. 清华大学出版社.1996年4 赵新民. 智能仪器设计基础. 哈尔滨工业大学出版社. 1999年5 潘新民王燕芳. 微型计算机控制技术. 电子工业出版社. 2003年6 李钟实. 实用电子报警器精选百例. 北京:科学技

13、术文献出版社.2002(4)7 陈爱第. Protel99实用培训教程. 人民邮电出版社. 20008 童诗白. 模拟电子技术基础. 高等教育出版社. 1998(4)9 中国电子网 第一章 引 言随着社会物质财富的日益增长,安全防盗已成为社会问题。而锁自古以来就是把守门户的铁将军,人们对它要求甚高,既要安全可靠地防盗,又要使用方便,这也是制锁者长期以来研制的主题。目前国内,大部分人使用的还是传统的机械锁。然而,眼下假冒伪劣的机械锁泛滥成灾,互开率非常之高。所谓互开率,是各种锁具的一个技术质量标准,也就是1把钥匙能开几把锁的比率。经国家工商局、国家内贸局、中国消协等部门对锁具市场的调查,发现个别

14、产品的互开率居然超标26倍。为何弹子锁的“互开率”会如此之高?据有关专家人士剖析,弹子锁质量好坏主要取决于弹子数量的多少以及弹子的大小,而弹子的多少和大小受一定条件的限制。此外,即使是一把质量过关的机械锁,通过急开锁,甚至可以在不损坏锁的前提下将锁打开。机械锁的这些弊端为一种新型的锁-电子密码锁,提供了发展的空间。电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。现在应用较广的电子密码锁是以芯片为核心,通过编程来实现的。近几年来随着人们生活水平的提高,人们的安全意识也在不断的

15、增高,各种技术不断的发展,以前普通的锁具已经不能满足现在单位、家庭的需要,随着小偷新的作案方式的出现,人们对锁具系统的要求的越来越严格!现在的锁具设备有很多种,但是现在比较流行的密码锁日益受到人们的重视,其优点主要有一下几点:1、不用钥匙,不用电池,没有废弃污染物。2、全机械结构,能承受相当恶劣的外部环境,使用范围广。3、实际密码量大保密性能高,试探开锁的概率几乎为零。4、结构简单,结实可靠。5、密码可变。 用户可以经常更改密码,防止密码被盗,同时也可以避免因人员的更替而使锁的密级下降。6、误码输入保护。当输入密码多次错误时,报警系统自动启动。7、电子密码锁操作简单易行,一学即会。在科技日益发

16、展的今天,人们对锁具的的概念已经发生根本的变化。密码锁具的诞生为人们的财产安全提供了依靠,未来的发展前景应该是很不错的,以前人们的亲自防备,已经渐渐由技防所取代,这种技具体体现在锁具系统中。 现在的锁具已经发展到不仅能够起到不被盗取的功能,同时还兼有防盗的功能。第2章 电子电路设计的基本方法2.1 综合电子系统的分级电子电路设计与制件的选题,通常是综合性强的电子系统,只是选择这样的系统,才能提升学生的水平和能力。为了说明综合电子系统设计的基本方法,通常将电子系统分成四级:系统级、子系统级、单元级、元件级,如图 所示。下一个系统可能挂若干个子系统,而每个子系统可能挂若干个单元,而且上级涵盖下级,

17、层次分明。以频率测量系统为例,整体上可视为系统级,该系统可分为“信号通道”和“逻辑控制”两个子系统,每个子系统又包含了几个单元。单元通常是下一个独立的电路,每个单元显然由电子元器件组成这就是最底层元件级。2.2 “自顶向下”的设计方法“自顶向下”(TOP-down)的设计方法是从系统级设计入手,在顶层进行功能方框图的划分和结构设计,然后进行单元级设计,进行具体软硬件设计与仿真,最后落实到选择什么器件和芯片。“自顶向下”的优点是能站得高、看得远,把握系统设计的主线,思路很清晰。使用“自顶向下”设计方法时应注意如下几点:(1)在进行系统级设计时,要抓住主要矛盾,不必过多地考虑底层选择什么电路、选择

18、什么器件,要“抓大放小”,稳步推进,这时获得的设计结果往往是系统的方框图或称为方案。系统级设计很重要,但所需时间比较少,特别是竞赛题目,应能很快确定方案,不可在确定方案时犹豫不决,拿不定主意,延误时间。(2)上一级设计应对下一级设计负责,保证上一级设计的正确和完美,称为“高层主导”原则和“问题不放下”原则。在设计某一级时遇到问题,必须将其解决才能进行下一级或更上一级的设计来减轻下一级的设计困难。“自顶向下”设计法适合于各级层次比较分明的大型系统,下一个系统包括数个子系统,而每个子系统又包括数个单元,采用“自顶向下”法较为合理。2.3 “自底而上”的设计方法有时所设计的系统不太复杂,层次不太分明

19、,可采用“自底而上”法。该方法适合于手头已有现成的设计单元电路或模块,可直接采用其电路。根据总体设计要求和功能,用若干个单元电路搭建子系统,直到实现系统全部技术指标和要求为止,如同搭积木那样。2.4.电子电路设计的基本原则电子电路设计最基本的原则是应该使用最经济的资源实现最好的电路。在这一基本原则下,正确处理以下关系:1.尽量提高性价比合理权衡成本、体积、功率等指标。例如,对电池供电的便携式仪器仪表电路,设计时要重点考虑功率和体例如,对电池供电的便携式仪器仪表电路,设计时要重点考虑功率和体积。而对由市电供电的实验室,功耗将不是主要的考虑因素。一个电子电路,可能有多种设计方案,在设计时,应尽量提

20、高提高性价比。例如设计一个电子计数器,用PLD器件7128和单片机都能实现,但采用7128就显得浪费,因为7128约80元一片,而51单片机约8元一片。也就是说,7128可以设计较大的数字系统,用它来设计电子计数器是大材小用。再者,在一般的放大电路中,能用普通运放就不必采用高精度运放;能用8位单片机实现功能,就不必采用16位单片机。2.设计中的“软件”与“硬件”的考虑设计中,能用软件编程实现的功能,就不要用硬电路来实现,这实质上也是在追求性价比。3.采用电路的考虑电路设计的工作主要是选择或设计单元电路的结构形式,所设计的电路能用软件实现的就不用硬件,在非用硬件不可的情况下,也应在满足性能指标要

21、求的前提下,力求结构简单,合理使用,技术先进,切忌电路复杂。4.采用器件的考虑电子电路设计中设及到许多器件,在选择器件时主要考虑规格、型号、报价、性能甚至厂商,以下几个问题值得注意: 电子器件的更新换代非常快,新器件不断进入电子市场,而且新器件为了抢占市场,往往价位定得比较低。在电子电路中,鼓励彩新技术、新工艺、新器件,电子电路彩较多的新器件,说明该电子电路有创新。 应选择当前流行的“大路货”。有些“大路货”器件,如51单片机、555时基块、三端稳压器等占据市场经久不衰,价格低廉,很容易买到。切忌过分追求使用高档、贵重器件。 应尽量选用现成的模块或组件。模块或组件实际上是半成品或成品,是器件开

22、发商推出的实现某一功能的电路,内部已组装完成,对外只有几个,如电源、接地、输出、输入,这些电路模块价位低廉,使用方便,很受欢迎。5.尽量采用标准接口采用国际标准接口易于实现各种仪器设备的控制、数据传输和通信,便于功能扩展,易于组成功能更强的电路系统。设计中应注意采用模块化结构、总线结构和标准化的接口。第3章 系统总体设计3.1密码锁控制电路的设计思路设计本课题构思了两种方案。方案一:是用以AT89C52为核心的单片机控制方案。按系统功能划分,可分为发射与接收两大部件,发射部分由单片机AT89C52、LCD液晶显示、键盘、放大电路、工作指示电路、红外发射管等组成。接收部分由单片机AT89C52、

23、红外接收电路、工作指示电路、音乐发声电路、电子锁控制电路等组成。系统除了能够完成开锁,超时报警,键盘锁定,重设密码等基本的密码锁功能。方案二:另一种是用以74LS112双JK触发器构成的数字逻辑电路控制方案。该方案充分利用到了我们所学习到的课程模拟电子技术、数字电路技术、电子线路EDA技术等。按系统功能可分为键盘输入、密码修改、密码检测、开锁及执行电路、报警电路、报警次数检测及锁定电路、备用电源电路、停电检测及电子开关切换电路、蓄电池自动充电电路组成。考虑到单片机方案原理复杂,而且调试较为繁琐,所以本文采用后一种方案。3.1.1总体设计图31 原理框图图32 电路原理图(总体)3.2 元器件基

24、本知识3.2.1 555定时集成芯片555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。555定时器电路是一块介于模与数字电路的一种混合电路,由于这种特殊的地位,故555定时电路在报警电路、控制电路得到了广泛的应用。下图为555的内部电路,从图上可以看出,其仅有两个比较器、一个触发器、一个倒相器、放电管和几个电阻构成,由于比较器电路是一个模拟器,而触发器电路为数字

25、电路,故其为混合器件。图33 555的内部电路555为一8脚封装的器件,其各引脚的名称和作用如下:1脚GND,接地脚2脚TL,低电平触发端3脚Q,电路的输出端4脚/RD,复位端,低电平有效5脚V_C,电压控制端6脚TH,阈值输入端7脚DIS,放电端8脚VCC,电源电压端,其电压范围为:318V集成555定时器有双极性型和CMOS型两种产品。一般双极性型产品型号的最后三位数都是555,CMOS型产品型号的最后四位数都是7555.它们的逻辑功能和外部引线排列完全相同。器件电源电压推荐为4512V,最大输出电流200mA以内,并能与TTL、CMOS逻辑电平相兼容。555定时器的内部电路框图及逻辑符号

26、和管脚排列分别如表31和表32所示。参数名称符号单位参数电源电压VCCV516电源电流ICCmA10阈值电压VTHVVCC触发电压VTRVVCC输出低电平VOLV1输出高电平VOHV13.3最大输出电流IOMAXmA200最高振荡频率fMAXKHz300时间误差tnS5 VTH即Vi1 ,VTR即Vi2 。表31 (a)双极性型5G555的主要性能参数 参数名称符号单位参数电源电压VCCV318电源电流ICCA60阈值电压VTHVVDD触发电压VTRVVDD输出低电平VV0.1输出高电平VV14.8最大输出电流IOMAXmA200最高振荡频率fMAXKHz500时间误差tnS表31 (b) C

27、MOS型7555的主要性能参数图3-4 555定时器内部结构图3-5 555定时器逻辑符号和引脚 引脚功能:Vi1(TH):高电平触发端,简称高触发端,又称阈值端,标志为TH。Vi2():低电平触发端,简称低触发端,标志为。VCO:控制电压端。VO:输出端。Dis:放电端。:复位端。555定时器内含一个由三个阻值相同的电阻R组成的分压网络,产生VCC和VCC两个基准电压;两个电压比较器C1、C2;一个由与非门G1、G2组成的基本RS触发器(低电平触发);放电三极管T和输出反相缓冲器G3。是复位端,低电平有效。复位后, 基本RS触发器的端为1(高电平),经反相缓冲器后,输出为0(低电平)。分析图

28、1.1的电路:在555定时器的VCC端和地之间加上电压,并让VCO悬空,则比较器C1的同相输入端接参考电压VCC,比较器C2反相输入端接参考电压VCC ,为了学习方便,我们规定:当TH端的电压VCC时,写为VTH=1,当TH端的电压VCC时,写为VTR=1,当端的电压VCC时,写为VTR=0。低触发:当输入电压Vi2VCC 且Vi1VCC 且Vi1VCC,则VTH=1,比较器C1输出为低电平,无论C2输出何种电平,基本RS触发器因=0,使1,经输出反相缓冲器后,VO0;T导通。这时称555定时器“高触发”。555定时器的“低触发”、“高触发”和“保持”三种基本状态和进入状态的条件(即VTH、V

29、TR的“0”、“1”)必须牢牢掌握。 VCO为控制电压端,在VCO端加入电压,可改变两比较器C1、C2的参考电压。正常工作时,要在VCO和地之间接001F(电容量标记为103)电容。放电管Tl的输出端Dis为集电极开路输出。555定时器的控制功能说明见表32。输 入输 出THVODisVCCVCCVCC待添加的隐藏文字内容3LHHHLH不变L导通截止不变导通表3-2 555定时器控制功能表根据555定时器的控制功能,可以制成各种不同的脉冲信号产生与处理电路电路,例如,史密特触发器、单稳态触发器、自激多谐振荡器等。3.2.3 JK触发器触发器(Flip Flop,简写为FF)是具有记忆功能的单元

30、电路,由门电路构成,专门用来接收存储输出0、1代码。 它有双稳态、 单稳态和无稳态触发器(多谐振荡器)等几种。双稳态触发器, 即其输出有两个稳定状态0、1。只有输入触发信号有效时, 输出状态才有可能转换;否则,输出将保持不变。双稳态触发器按功能分为RS、 JK、D、T和T型触发器;按结构分为基本、 同步、主从、维持阻塞和边沿型触发器;按触发工作方式分为上升沿、下降沿触发器和高电平、低电平触发器。在本设计中所采用的是JK触发器。1.JK 触发器的驱动表 J K0 00 0 11 1 0 11 1 02.特征方程根据牲表可画的卡诺图,3.状态转换图根据驱动表可画出状态转换图。 集成JK触发器集成J

31、K触发器的产品较多,以下介绍的是本设计中使用的74LS112为双下降沿JK触发器,其管脚排列图及符号图如图36所示。 图3674LS112管脚排列图(a) 管脚排列 (b) 逻辑符号 同步JK触发器的电路组成如图36所示。 图36 同步JK触发器(a) 逻辑电路; (b) 逻辑符号2. 功能分析 按图4.8(a)的逻辑电路,同步JK触发器的功能分析如下:(1)当CP=0时,R=S=1, 触发器的状态保持不变。(2)当CP=1时,将 , 代入, 可得 。在同步触发器功能表基础上, 得到JK触发器的功能表如表33所示,状态图如图37所示。 图 37 状态图表33 状态CPJ KQn+1功能10 0

32、Qn保持10 10置011 01置111 1不存在翻转(计数) 从表33中可知: (1) 当J=0,K=1时, , 置“0”。 (2) 当J=1,K=0时, , 置“1”。 (3) 当J=0,K=0时, , 保持不变。 (4) 当J=1,K=1时, , 翻转或称计数。所谓计数就是触发器状态翻转的次数与CP脉冲输入的个数相等,以翻转的次数记录CP的个数。波形图如图38所示。 图38 J=K=1波形图 3.2.4电压比较器电压比较器是集成运放非线性应用电路,它将一个模拟量电压信号和一个参考固定电压相比较,在二者幅度相等的附近,输出电压将产生跃变,相应输出高电平或低电平。可以看作是放大倍数接近“无穷

33、大”的运算放大器。电压比较器的功能:比较两个电压的大小(用输出电压的高或低电平,表示两个输入电压的大小关系): 当”输入端电压高于”输入端时,电压比较器输出为高电平; 当”输入端电压低于”输入端时,电压比较器输出为低电平;集成电压比较器简介:作用:它可用作模拟电路和数字电路的接口,还可以用作波形产生和变换电路等。利用简单电压比较器可将正弦波变为同频率的方波或矩形波。应用:作为模拟电路和数字电路的接口电路。特点:比集成运放的开环增益低,失调电压大,共模抑制比小;但其响应速度快,传输延迟时间短,而且不需外加限幅电路就可直接驱动TTL、CMOS和ECL等集成数字电路;有些芯片带负载能力很强,还可直接

34、驱动继电器和指示灯。3.2.5计数器计数器电路是一种随时钟输入CP的变化,其输出按一定的顺序变化的时序电路。 下面以74LS161为例来看一下二进制计数器的功能,下图为74LS161的功能表34:表34 74LS161功能表 CP /CR /LD CTT CTP功 能 d 0 d d d 异步清零 1 0 d d 同步置数d 1 1 0 1 保 持d 1 1 1 0 保持但Co=0 1 1 1 1 正常计数上面的功能表简单地描述了计数器的功能,但具体的功能通常由时序图来表示,下图为74LS161的功能时序图39: 清除 置数 计数 保持图39 74LS161的功能时序图3.3设计原理分析电路由

35、两大部分组成:密码锁电路和备用电源(UPS),其中设置UPS电源是为了防止因为停电造成的密码锁电路失效,使用户免遭麻烦。密码锁电路包含:键盘输入、密码修改、密码检测、开锁电路、执行电路、报警电路、键盘输入次数锁定电路。3.3.1、键盘输入、密码修改、密码检测、开锁及执行电路 其电路如下图图3-11所示:开关K1K9是用户的输入密码的键盘,用户可以通过开关输入密码,开关两端的电容是为了提高开关速度,电路先自动将IC1IC4清零,由报警电路送来的清零信号经C25送到T11基极,使T11导通,其集电极输出低电平,送往IC1IC4,实现清零。 密码修改电路由双刀双掷开关S1S4组成(如图3-10所示)

36、, 它是利用开关切换的原理实现密码的修改。例如要设定密码为1458,可以拨动开关S1向左,S2向右,S3向左,S4向右,即可实现密码的修改,由于输入的密码要经过S1S4的选择,也就实现了密码的校验。本电路有16组的密码可供修改。 图3-10 密码修改电路由两块74LS112(双JK触发器,包含IC1IC4)组成密码检测电路。由于IC1处于计数状态,当用户按下第一个正确的密码后,CLK端出现了一个负的下降沿,IC1计数,Q端输出为高电平,用户依次按下有效的密码,IC2IC3也依次输出高电平,送入与门IC5,使其输出开锁的高电平信号送往IC13的2脚,执行电路动作,实现开锁。执行电路是由一块555

37、单稳态电路(IC13),以及由T10、T11组成的达林顿管构成。若IC13的2脚输入一高电平,则3脚输出高电平,使T10导通,T11导通,电磁阀开启,实现开门,同时T10集电极上接的D5(绿色发光二极管)发亮,表示开门,20秒后,555电路状态翻转,电磁阀停止工作,以节电。其中电磁阀并联的电容C24使为了提高电磁阀的力矩。图3-11 键盘输入、密码修改、密码检测、开锁、执行电路3.3.2 报警电路报警电路实现的功能是:当输入密码的时间超过30秒(一般情况下用户输入不会超过),电路报警30秒,防止他人恶意开锁。电路包含两大部分,40秒延时和30秒报警电路。其工作原理是当用户开始输入密码时,电路开

38、始40秒计时,超出40秒,电路开始30秒的报警。图如下图312所示。 图312 报 警有人走近门时,触摸了TP端(TP端固定在键盘上,其灵敏度非常高,保证电路可靠的触发),由于人体自身带的电,使IC10的2脚出现低电平,使IC10的状态发生翻转,其3脚输出高电平,T5导通(可以通过R12控制T1的基极电流),其集电极接的黄色发光二极管D3发光,表示现在电子锁处于待命状态,T6截止,C4开始通过R14充电(充电时间是40秒,此时为用户输入密码的时间,即用户输入密码的时间不能超过40秒,否则电路就开始报警, 由于用户经常输入密码,而且知道密码,一般输入密码的时间不会超过40秒),IC2开始进入延时

39、40秒的状态。 开始报警:当用户输入的密码不正确或输入密码的时间超过40秒,IC11的2脚电位随着C4的充电而下降,当电位下降到1/3Vcc时(即40秒延时结束时候),3脚变成高电位(延时时是低电平),通过R15使(R15的作用是为了限制T7的导通电流防止电流过大烧毁三极管)T7导通,其集电极上面接的红色发光二极管D4发亮,表示当前处于报警状态,T8也随之而导通,使蜂鸣器发声,令贼人生怯,实现报警.停止报警:当达到了30秒的报警时间,IC10的6,7脚接的电容C5放电结束,IC10的3脚变成低电平,T5截止,T6导通,强制使强制电路处于稳态,IC11的3脚输出低电平,使T7,T8截止,蜂鸣器停

40、止报警;或者用户输入的密码正确,则有开锁电路中的T10集电极输出清除报警信号,送至T12(PNP),T12导通,强制使T7基极至低电位,解除报警信号。3.3.3 报警次数检测及锁定电路若用户操作连续失误超过3次,电路将锁定5分钟。其工作原理如下:当电路报警的次数超过3次,由IC9(74161)构成的3位计数器将产生进位,通过IC7,输出清零信号送往74LS161的清零端,以实现重新计数。经过IC8(与门),送到IC12(555)的2脚,使3脚产生5分钟的高电平锁定脉冲(其脉冲可由公式T=1.1RC计算得出),经T9倒相,送IC6输入端,使IC6输出低电平,使IC13不能开锁,到锁定的目的。电路图如下图3-13所示:图3-13 报警次数检测及锁定电路3.3.4 备用电源电路为了防止停电情况的发生,本电路后备了UPS电源,它包括市电供电电路,停电检测电路,电子开关切换电路,蓄电池充电电路和蓄电池组成。其电路图如下图3-14所示:220V市电通过变压器B降压成12V的交流电,再经过整流桥整

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号