数电课程设计数字电子钟的设计.doc

上传人:laozhun 文档编号:3944511 上传时间:2023-03-28 格式:DOC 页数:24 大小:1.38MB
返回 下载 相关 举报
数电课程设计数字电子钟的设计.doc_第1页
第1页 / 共24页
数电课程设计数字电子钟的设计.doc_第2页
第2页 / 共24页
数电课程设计数字电子钟的设计.doc_第3页
第3页 / 共24页
数电课程设计数字电子钟的设计.doc_第4页
第4页 / 共24页
数电课程设计数字电子钟的设计.doc_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《数电课程设计数字电子钟的设计.doc》由会员分享,可在线阅读,更多相关《数电课程设计数字电子钟的设计.doc(24页珍藏版)》请在三一办公上搜索。

1、扬州大学能源与动力工程学院本科生课程设计题 目: 数字电子钟设计 课 程: 数字电子技术基础 专 业: 测控技术与仪器 班 级: 测控0802 学 号: 姓 名: 指导教师: 完成日期: 2010.6.25 总 目 录第一部分:任务书 第二部分:课程设计报告第 一 部 分任务书数字电子技术基础课程设计任务书一、课程设计的目的 本课程是在学完数字电子技术基础、数字电子技术实验之后,集中一周时间,进行的复杂程度较高、综合性较强的设计课题的实践环节,通过该教学环节,要求达到以下目的: 1. 使学生进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力; 2. 使学生基本

2、掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力; 3. 熟悉并学会选用电子元器件,为以后从事生产和科研工作打下一定的基础。二、课程设计的要求1. 设计时要综合考虑实用、经济并满足性能指标要求;2. 必须独立完成设计课题; 3. 合理选用元器件; 4. 按时完成设计任务并提交设计报告。 三、课程设计进度安排1、方案设计;(半天)根据设计任务书给定的技术指导和条件,进行调查研究、查阅参考文献,进行反复比较和可行性论证,确定出方案电路,画出主要单元电路,数据通道,输入、输出及重要控制信号概貌的框图。2、 电路设计:(一天)根据方案设计框图,并画出详细的逻辑图。3、 装配图设计:(半天)

3、根据给定的元器件,结合逻辑图,设计出电路制作的具体装配图(即绘出组件数量,管脚号以及器件布置的实际位置)。同时配以必要的文字说明。4、 电路制作:(一天)对选定的设计,按装配图进行装配,调试实验。5、 总结鉴定:(一天)考核样机是否全面达到现定的技术指标,能否长期可靠地工作,并写出设计总结报告。四、设计题目及内容题目:数字时钟电路内容: 1、具有正常的时、分、秒计时显示功能; 2、能进行手动校时、校分; 3、能进行整点报时; 4、设计所需的脉冲电路; 5、具有开机清零功能。五、设计要求1、用中小型规模集成电路设计出所要求的电路;2、 在实验箱上安装、调试出所设计的电路。3、 写出设计、调试、总

4、结报告。六、使用仪器设备1、 实验电路箱;2、 下载线3、 PC机(装有MAX+PLUSII软件);4、 数码管 第 二 部 分课程设计报告目 录1 设计任务及要求. (1)2 系统总体设计方案. (1)2.1 总体设计方案. (1)2.2 方案特点 . (1)3 可编程逻辑器件概述. (1) 3.1 可编程逻辑器件基本原理. . (1) 3.2 CPLD器件 EPM7128简介. . (1)3.3 可编程器件开发方法. . . (2)4 六十进制“秒”计数器设计. (2) 4.1模块符号及说明. . . . (2) 4.2 原理图(VHDL程序)介绍. . . . .(2)4.3 功能仿真.

5、 (3)5 六十进制“分”计数器设计. (3) 5.1模块符号及说明. . (3) 5.2 原理图(VHDL程序)介绍. .(3)5.3 功能仿真. . . (4)6 二十四进制计数器设计.(4) 6.1模块符号及说明. .(4) 6.2 原理图(VHDL程序)介绍. .(4)6.3 功能仿真. . . (5)7 功能选择模块设计.(5) 7.1模块符号及说明. . (5) 7.2 原理图(VHDL程序)介绍. .(5)7.3 功能仿真. (6)8校分校时电路设计.(6) 8.1模块符号及说明. . (6) 8.2 原理图(VHDL程序)介绍. .(6)8.3 功能仿真. .(7)9整点报时电

6、路设计. (7) 9.1模块符号及说明. . (7) 9.2 原理图(VHDL程序)介绍. . .(7)9.3 功能仿真. . .(8)10系统整体电路设计.(8) 10.1模块符号及说明. . . (8) 10.2 原理图(VHDL程序)介绍. . .(8)10.3 功能仿真. . . (9)11系统硬件电路实现. (9) 11.1电路搭接. . .(9) 11.2 编程下载. (10)11.3 功能测试. . .(14)12 改进意见及收获体会.(14)13 器件明细清单.(15) 参考文献. (15)1 设计任务及要求同上任务书中的内容。2 系统总体设计方案2.1 总体设计方案数码管数码

7、管数码管数码管数码管数码管译码器译码器译码器译码器译码器译码器秒分时整点报时校分校时开机清零图1.系统总体设计方案2.2 方案特点具有正常的时、分、秒计时显示功能;能进行手动校时、校分;有所需的脉冲电路;具有开机清零功能;能进行整点报时,在五十九分、五十一秒开始响,响一秒停一秒,且前四声声音较小(500HZ),最后一声最响(1000HZ)。3 可编程逻辑器件概述3.1可编程逻辑器件基本原理紫外线擦除、电编程的EPROM,电擦除、电编程的E2PROM和快闪存储单元都采用了浮栅编程技术。EPROM的存储单元采用浮栅雪崩注入MOS管(FAMOS管)或叠栅注入MOS管(SIMOS管)。图1.3是浮栅雪

8、崩注入MOS管示意图,它是一个P沟道增强型MOS管,但栅极完全被SiO2隔离,处于浮置状态,因此称“浮置栅”。浮栅上原本不带电,因此漏源之间没有导电沟道,浮栅管完全呈截止状态。当漏源之间加上很高的负电压(通常为-45 V左右)时,则可使漏极与衬底之间的PN结发生雪崩击穿,耗尽区内的电子在强电场作用下以高速从漏极的P+区向外射出,使部分电子穿过SiO2层到达浮栅,形成浮栅存储电荷。3.2 CPLD器件 EPM7128简介 EPM7128 CPLD实验开发板采用了Altera公司的EPM7128SLC84-15作为目标CPLD。Altera公司的EPM7128S是基于第二代MAX体 系的高性能CP

9、LD。实验板所用的EPM7128S采用PLCC84脚封装,内部集成有128宏单元、8个逻辑阵列和1 2500个门电路。EPM7128SLC84-15(嵌入在PLCC84芯片插座中,可用启拔器拔出),可兼容EPM7064SLC84、EPM7096SLC84、 EPM7160SLC84。EPM7128 CPLD实验开发板上有四位LED数码管、发光二极管、按键、可变时钟脉冲信号源、扬声器等基本的输入输出模块。可改变频率的信号发生器(提供频率最高32.768kHZ,最低1HZ的频率,并且可以用软件中D触发器分频,可以产生几千种的频率)和提供12M率的 脉冲电路。EPM7128 CPLD实验开发板可进行

10、基本数字逻辑电路、数字测量自动控制及乐曲自动演奏等实验。3.3可编程器件开发方法可编程模拟器件开发的主要步骤依次为:(1)电路表达,即根据设计任务,结合所选用的可编程模拟器件的资源、结构特点,初步确定设计方案;(2)分解与综 合,即对各功能模块进行细化,并利用开发工具输入或调用宏函数自动生成电原理图;(3)布局布线,即确定各电路要素与器件资源之间的对应关系以及器件内部 的信号连接等。可自动或手动完成;(4)设计验证,即对设计进行仿真(根据器件模型和输入信号等,计算并显示电路响应),以初步确定当前设计是否满足功能 和指标要求。如果不满足,应返回上一步骤进行修改;(5)由开发工具自动生成当前设计的

11、编程数据和文件;(6)器件编程,即将编程数据写入器件内部的配置 数据存储顺。一般通过在线配置方式完成,也可利用通用编程器脱机编程;(7)电路实测,即利用仪器对配置后的器件及电路进行实际测试,详细验证其各项功能 和指标。如果发现问题,还需返回前有关步骤加以修改和完善。4 六十进制“秒”计数器设计 4.1模块符号及说明 十进制计数器(74160),用于进制为十的计数。4.2原理图(VHDL程序)介绍 2 图2.六十进制秒的电路图以CLK作为脉冲输入端,EP、ET始终置为高电平,秒的个位是十进制,可以直接用进位输出端作为十位的输入脉冲,秒的十位用同步置零法设计,当输入第五个脉冲时,将输出预置为零,当

12、第六个脉冲到达时,将输出置零。4.3功能仿真待程序设计好后,调试出输入输出波形,观察波形即可。5 六十进制“分”计数器设计 5.1模块符号及说明 十进制计数器(74160),用于进制为十的计数。5.2 原理图(VHDL程序)介绍 3 图3.六十进制分的电路以CLK作为脉冲输入端,EP、ET始终置为高电平,分的个位是十进制,可以直接用进位输出端作为十位的输入脉冲,分的十位用同步置零法设计,当输入第五个脉冲时,将输出预置为零,当第六个脉冲到达时,将输出置零。5.3 功能仿真待程序设计好后,调试出输入输出波形,观察波形即可。6 二十四进制计数器设计 6.1模块符号及说明 十进制计数器(74160),

13、用于进制为十的计数。6.2 原理图(VHDL程序)介绍 4图4.二十四进制时的电路以CLK作为脉冲输入端,EP、ET始终置为高电平,时的个位是十进制,可以直接用进位输出端作为十位的输入脉冲,时的十位是二十四进制,采用异步置零的方法,当时的第二十四个脉冲到达时将个位和十位同时置零。6.3 功能仿真待程序设计好后,调试出输入输出波形,观察波形即可。7 功能选择模块设计 7.1模块符号及说明 无模块。 7.2 原理图(VHDL程序)介绍5图5.开机清零的电路开机清零是在开机时,向输入端置入低电平,以使清零端为低电平,从而达到开机清零的目的。7.3 功能仿真待程序设计好后,调试出输入输出波形,观察波形

14、即可。8校分校时电路设计 8.1模块符号及说明 无模块。 8.2 原理图(VHDL程序)介绍图6.手动校时的电路 6图7.手动校分的电路 手动校时、校分,是用手动的方法向时和分的输入端输入脉冲,使之计数。8.3 功能仿真下载仿真,且接好线后,进行手动校时、校分,看是否可行。9整点报时电路设计 9.1模块符号及说明 D触发器(7474),用于分频;四选一数据选择器(74153),用于选择报时时的声音频率。 9.2 原理图(VHDL程序)介绍 7图8.整点报时的电路 用D触发器对输入的1KHZ进行分频,分为500HZ和1KHZ,并将它们作为数据选择器的输入C0、C1,当五十九分、五十一、二、三、七

15、秒的时候,用与门将数据选择器的地址输入端置为00,输出C0(500Hz),到五十九秒的时候,将地址输入端置为01,输出C1(1KHZ)。9.3 功能仿真下载仿真,且接好线后,进行手动校时、校分,看是否可行。10系统整体电路设计 10.1模块符号及说明 十进制计数器(74160),用于时、分、秒的六十和二十四进制计数;D触发器(7474),用于分频;四选一数据选择器(74153),用于选择报时时的声音频率;译码器(7448),用于将数字译为可以用数码管显示的信号。 10.2 原理图(VHDL程序)介绍 8图9.系统的整体电路同上面分步介绍。10.3 功能仿真下载仿真,且接好线后,进行手动校时、校

16、分,看是否可行。11系统硬件电路实现 11.1电路搭接 9图10.电路接线注:数码管的时、分、秒是倒过来看的。 11.2 编程下载 1011 12上图,皆是设计好的引脚。 1311.3 功能测试图11.在经过23时59分59秒后的归零先看开机清零,再用手动校时、校分的方法,将其调为二十三时,五十九分,五十秒,看其报时、进位是否正确。注:数码管的时、分、秒是倒过来看的。12 改进意见及收获体会意见:时间安排得充裕些,不要那么紧。体会:这次的课程设计,我做的是数字电子钟。在确定项目的当天晚上我便接好了我会的电路部分,在第一天经老师的指导,完善了电路。调试了一下波形,感觉还蛮正确的。可是第二天,设计

17、好引脚,接好线,下载完成后,调试却出现了问题。就如上面的图,时不能正常的清零,时和分,分和秒之间不能正常的进位。检查电路,却查不出问题,变得很烦躁。后来在老师的帮助下,才完成了设计。这次的课程设计让我认识到,看似简单的东西不一定简单,看试没问题的东西不一定正确,且我的知识掌握得不够全面、透彻,我还要好好的努力。 14图12.有错误的电路图13 器件明细清单 一台 PC机(带有Max-plusII软件),一台模电实验电路箱,一条下载线,六个数码管,导线若干。 参考文献1 阎石主编数字电子技术基础(第四版)北京:高教出版社, 20002 于卫 蔡钧 宁进喜 江丽莉编数字电子技术实验实验指导书 扬州大学信息工程学院 2009.23 李维主编数字电路课程设计及实验 大连理工大学出版社 2008.9 15

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号