本科毕设FPGA图像处理技术.doc

上传人:laozhun 文档编号:3945311 上传时间:2023-03-28 格式:DOC 页数:51 大小:1.88MB
返回 下载 相关 举报
本科毕设FPGA图像处理技术.doc_第1页
第1页 / 共51页
本科毕设FPGA图像处理技术.doc_第2页
第2页 / 共51页
本科毕设FPGA图像处理技术.doc_第3页
第3页 / 共51页
本科毕设FPGA图像处理技术.doc_第4页
第4页 / 共51页
本科毕设FPGA图像处理技术.doc_第5页
第5页 / 共51页
点击查看更多>>
资源描述

《本科毕设FPGA图像处理技术.doc》由会员分享,可在线阅读,更多相关《本科毕设FPGA图像处理技术.doc(51页珍藏版)》请在三一办公上搜索。

1、毕业设计(论文)题 目 基于FPGA的实时 图像处理研究 专 业 电子信息工程 班 级 电信052 学 生 张乐 指导教师 刘丁 2009 摘 要图像数据是一种重要的信息资源。随着以计算机和计算机技术为核心的信息科学的发展,图像处理在通讯,医学,气象,航空航天及教育等领域发挥着越来越重要的作用。这就使得快速准确地进行图像处理变得越来越重要。实际工作中常常需要对所得的图像进行处理,从而为接下来对图像的分析与修正作好前期准备工作。这期间最重要的是将图像按照要求正确、真实、快速地显示出来,以便于人工干预。因为数字摄像机、模拟像机以及普通监视器的广泛应用,所以,如何设计一个广泛适用的、并能将图像正确地

2、显示在普通监视器上的图像处理系统十分重要。现场可编程逻辑门阵列FPGA与PAL,GAL器件相比,它的优点是可以实时的对外加的或内置的RAM或EPROM编程,实时地改变器件的功能从而是系统更加灵活多变,更易于修正和功能的扩展。该硬件系统中,采用了基于FPGA(ep2c35)的图像处理系统,该系统将图像采集、显示和图像处理功能等集成到FPGA的平台上。主要包括视频A/D转化芯片SAA7113、D/A转化芯片SAA7128,采样控制器、存储芯片SDRAM。由该系统得到分辨率800600,60Hz的图像。SAA7113的初始化设置通过I2C总线来实现。该软件系统中,使用Verilog HDL语言编写和

3、调试程序。关键词:图像处理、FPGA、Verilog HDL、SAA7113AbstractImage data is an important information resources. With the computer and computer technology at the core of the development of information science, image processing in communications, medicine, meteorology, aerospace and education play an increasingly imp

4、ortant role. This makes for fast and accurate image processing is becoming increasingly important.Practical work is often derived from the need for image processing, the image for the following amendment to make the analysis and preparatory work. During this period the most important thing is the im

5、age in accordance with the requirements of the correct, true and fast to show up in order to facilitate the human intervention. Because the digital video camera, analog camera and monitor a wide range of common applications, therefore, how to design a widely applicable and can display images correct

6、ly on the monitor in the general image processing system is very important. Field-programmable gate array FPGA logic with the PAL, GAL devices compared to the advantages it can be added the external real-time, or built-in RAM or EPROM programming, real-time changes in the functions of the device and

7、 thus is more flexible system easier to amend and extend the functionality.The hardware system, based on the use of FPGA (ep2c35) image processing system, which will image acquisition, display and image processing functions into the FPGA platform. Mainly includes video A / D conversion chip SAA7113,

8、 D / A conversion chip SAA7128, sampling controller, memory chip SDRAM. By the system resolution of 800 600,60 Hz images. SAA7113 initialization settings to achieve through the I2C bus. The software system, using of Verilog HDL to language and debuggerKeywords: image processing, FPGA, Verilog HDL, S

9、AA7113目 录前言1第1章 绪论 41.1课题背景及研究意义41.2国内外发展现状 51.3本文章节安排 7第2章 系统整体介绍82.1 基于FPGA数字图像处理技术82.2 基于FPGA数字图像处理平台102.3 任务流程14第3 章 系统硬件设计163.1 平台核心EP2C35及其特点163.2 外围硬件17第4 章 系统软件设计324.1 视频采集程序364.2 数据格式处理364.3 图像处理算法37第5章 总结40致谢41参考文献42附录43前 言现代信息技术的迅猛发展,使得待处理的信息量急剧增加,图像处理方面的研究与应用,尤其是实时图像处理引起了更广泛的关注。近年来,FPGA技

10、术的发展不断将数字信号处理领域的理论研究成果应用到实际系统中,并且推动了新的理论和应用领域的发展,对图像处理等领域的技术发展也起到了十分重要的作用。基于FPGA的图像处理系统也被广泛的利用于各种领域。人类接受的信息约有70%来自视觉。周围景物在视网膜上的映像是人类最有效和最重要的信息获取形式。而且,通过视频获得的视频图像信息往往比通过听觉获取的音频信息具有更大的信息量。此外,视频图像信息还具有确切、直观、具体生动、效率高、应用广等一系列优点。由于视频信息的上述优点,视频技术被广泛应用于广播电视、通信、娱乐、计算机等领域,在信息社会中起着越来越重要的作用。随之发展起来的视频信号的处理技术也成为目

11、前的研究热点本文采用了基于FPGA的图像处理系统。用FPGA的好处是接口电路设计简单;并且FPGA有很高的并行处理能力。在FPGA中使用Verilog HDL语言描述了大部分的控制器接口,对于视频编解码芯片的接口,都是直接连接到FPGA。该系统将图像采集、显示和图像处理功能等集成到基于FPGA的开发板上,工作的重点在于搭建基于FPGA的图像处理硬件平台,完成软件的编写,实现图像处理算法。该系统采用SAA7113和FPGA实现系统前端的图像采集以及图像的输出控制常见的图像处理系统框图如图1-1所示。图1-1 图像处理系统框图系统分为四个部分:图像采集,逻辑控制,图像存储以及图像输出。图像采集:摄

12、像机输出的是模拟视频信号,模拟视频信号的制式早已标准化,所有摄像机都遵循这些标准制式,了解模拟视频信号的制式是系统设计的必要环节。模拟视频信号的数字化是由视频解码器完成的,高性能的视频解码器可以接收不同制式的模拟视频信号,并将它们转换为数字视频信号。或者在设计中使用直接输出数字视频信号的一类图像传感器。无论是通过视频解码器获得的数字视频数据还是直接得到的数字视频信号,都具有非常大的数据量和很高的数据率,这就为图像的实时传输提出了要求。而高速的处理正是FPGA平台的优势所在。逻辑控制:控制逻辑的作用主要是完成系统时序匹配,因为有些处理器读取数据的时序与图像传感器输出或者视频A/D输出的时序不匹配

13、,需要使用逻辑控制器一类器件进行时序的转换;它的另一个功能是对于I2C的控制作用,包括后期的视频处理与图像处理算法的添加。图像存储:图像的数据是非常庞大的。例如存储一幅图像,大容量和快速的图像存储器是必不可少的。存储1幅512512的16bit RGB图像需要4Mbit的存储器空间。对于图像处理,要留出2-3倍的存储空间来存放原始数据以及处理后的数据,对于大数据量的存储可以选择动态RAM进行存储。图像输出:通常的图像处理系统都有图像输出模块,用来输出图像处理的最终结果。结果可以是最后处理完的图像,也可以是图像处理的其他形式数据结果。本文中采用视频D/A输出到显示器的方式。其次,随着微电子技术的

14、高速发展,实时图像处理在多媒体、图像通信等领域有着越来越广泛的应用。FPGA就是硬件处理实时图像数据的理想选择,基于FPGA的图像处理专用芯片的研究将成为信息产业的新热点。 本文以FPGA为平台,使用Verilog HDL硬件描述语言设计并实现了图像二值化、最大类间方差法等图像处理算法。在设计过程中,通过改进算法和优化结构,在合理地利用硬件资源的条件下,有效地挖掘出算法内在的并行性,采用流水线结构优化算法,提高了顶层滤波模块的处理速度。整个设计及各个模块都在Altera公司的开发环境Quartus 以及第三方仿真软件Modelsim上进行了逻辑综合以及仿真。综合和仿真的结果表明,使用基于FPG

15、A硬件处理图像数据不仅能够获得很好的处理效果,达到较高的工作频率,处理速度也远远高于软件法处理图像。1绪论1.1研究背景及意义图像处理技术应用的领域十分广泛,从民用领域如机器人视觉、资源探测、天气预报和各种医学图像分析,到军用领域如导弹的精确制导、战场的动态分析,都利用了实时图像信号处理技术。医学上用图像处理技术进行显微图像处理分析,如红白细胞和细菌、染色体分析、胸部X射线照片鉴别、超声波图像分析。制造业用实时图像处理技术对流水线上的零部件进行检测,进行器件内结构分析、失效分析和可靠性筛选。纺织行业采用图像处理技术检测纺织品疵点以及纹理、图案特征。公共安全领域用图像处理技术指纹识别以及人像识别

16、。遥感图像处理技术可用于各种农作物、森林资源、矿产资源分析。气象云图处理技术可用于自动天气预报。人工地震波形图处理技术可用于地质结构分析、化石燃料资源勘探。随着当代电子技术的发展,图像处理技术的应用越来越广泛,要求也越来越高。数字图像处理技术的飞速发展使得所有图像处理的问题都可以用数字信号处理的形式来解决,这为实时图像处理的应用提供了广阔的空间。首先,数字信号处理中存在大量成熟的快速算法,如FFT、FHT等,这些算法已经大量的应用于图像处理中;其次,随着大规模集成电路的高速发展,DSP(Digital Signal Processor)数字信号处理器的发展为高速的实现信号处理、达到系统的实时性

17、提供了可能。这些发展都使得图像处理技术广泛的应用于科学研究、工农业生产、资源的遥感探测、医疗卫生、空间探测等各个领域。本课题的主要任务是:在我所自行研制FPGA的高速实时图像处理平台上,进行图像处理算法的研究和实现。课题将对图像算法进行研究,从中提取出我们需要的算法并加以分析和改进。1.2国内外发展现状实时图像处理研究始于60年代。为达到实时的要求,现在的图像处理器除采用高性能的主处理器外,同时还采用多处理器并行结构。如美国Thinking Machine公司87年开始生产的CM2连接机,用了65536个处理器,处理器之间用超立方体结构相连,也可组成网形结构。文献1FanYang和Painda

18、voine M.用基于FPGA、ASIC、DSP的嵌入式系统设计和实现了实时视觉系统,使用图像处理和神经网络的方法,在视频序列中截取人脸的局部并且鉴定其身份。加拿大Matrox公司采用TMS320C80芯片设计的GENESIS图像处理系统、英国INMOS公司设计的IMSA100级联信号处理器都是并行实时图像处理系统。2005年5月,富士通研究所与富士通前沿科技公司共同推出了“富士通服务机器人”,其中3维图像处理LSI使用美国XILINX约有500万个门阵列的FPGA开发而成,该FPGA的工作频率为90MHz.LSI提高了处理速度,因此可以在行走进程中进行视觉识别.国内的实时图像处理技术虽然起步

19、较晚,也取得了可喜的成就。89年浙江大学研制了模块化的实时图像处理系统ZRIP-I,93年用LOGIC公司的VLSI芯片又研制出了改进型系统ZRIP-II。中科院合肥智能机械研究所91年研制成功PLIS通用实时图像分析系统。该系统由主控机Esoxs与图像流系统构成,主控机与图像流系统高度并行工作,可满足多种应用领域实时图像信号处理与分析的要求。同济大学超大规模集成电路研究所研制成功了基于FPGA的H.264编解码器,清华大学研制了GIEB微机高速图像处理系统。电视成像跟踪系统属于实时图像处理的一个分支,也是始于60年代。由于当时技术水平的限制,系统还未达到实用的程度。到70年代末80年代初,随

20、着现代微电子技术与计算机技术的发展,电视成像跟踪技术获得了迅速发展。90年代系统发展到成熟阶段,从最初的模拟视频跟踪展到了现在的数字视频跟踪器,从最初的简单被动跟踪走向了多功能自适应跟踪,从单目标单轨迹相关跟踪走向了多目标多航迹跟踪。美国LawrenceLivermore国家实验室1989年研制的“大视场相机多目标跟踪系统”,整个多目标ACE处理器完全用硬件完成,实时性好,但是造价高、灵活性差。在国内,陈莅和李江勇等人提出的解决分割、标记等的算法解决了在线识别的延时瓶颈;王虎元等研发的“实时双模跟踪系统”融合了多种算法,提高了系统的适应性。目前电视成像跟踪系统正向智能化、复杂化的方向发展。在高

21、速智能化的图像信息处理平台上实现系统,并通过软件使系统具有综合的智能决策能力、很高的实时性、多种环境适应能力,以及良好的兼容性、可扩展性、可移植性。图像处理系统的实现方法一般有以下几种(参考文献2):(1)通用计算机软件实现。速度慢,一般用于算法验证、仿真或离线处理。(2)通用计算机加专用的加速卡实现。由于两者数据交换速度较慢,使系统性能受到限制。(3)单片机实现。由于单片机普遍采用冯诺依曼总线结构,运算速度慢,不适用于实时图像处理。(4)通用DSP芯片实现。普遍采用哈佛总线结构或超哈佛总线结构,内部有多个运算单元,使用流水线结构,具有良好的并行特性,并有专门设计的适合于数字信号处理的指令系统

22、。(5)专用的ASIC芯片实现。将一些专用芯片将常用的信号处理算法(如FFT、卷积相关等)在芯片内部用硬件实现,速度很快,灵活性差、成本高,专用性强。(6)用DSP十FPGA/ASIC实现。结构灵活、通用性强、处理效率高、开发周期短、易于维护和扩展。总结下来,嵌入式数字视频图像处理系统的实现方式有一下几种,有的基于工控机,有的基于DSP,有的基于专用压缩芯片,有的基于FPGA。基于工控机的方式有现成的操作系统及网络软件可以利用,开发容易,但成本太高。基于专用压缩芯片的方式实现简单、技术成熟可靠、成木低,一般情况下速度快,但灵活性差,无法满足用户灵活多样的要求。采用DSP的方式实现,其数据的处理

23、能力较强,但是DSP的外设不够丰富,并且在图像采集中和CMOS或CCD电路有繁复的接口电路设计。基于FPGA的方式,压缩算法用硬件实现,并行处理程度高,可实现高速处理,其器件性能优越。同时采用FPGA技术可缩短设计周期,减少硬件投资风险,且设计灵活,程序和模块可移植性强。因此,FPGA技术被越来越广泛地运用在图像处理领域。我所需要设计的是一个基于FPGA能够针对各种图像信号进行处理的图像处理系统。该系统适应于现在所普及的数字摄像头所捕获的视频图像,并最终能将处理过的图像在普通显示器上正确的显示出来。1.3本文章节安排本论文的章节安排如下:第一章为绪论部分,介绍了本文的问题产生的实际背景。针对实

24、际问题的特点,提出了解决方案,并简述了系统的各功能模块。第二章介绍了本文中系统的各个功能模块在设计方案时的形成,并介绍了与本文相关的部分理论知识。第三章在第二章基础上,分模块对系统的设计进行了详细描述。包括对图像传感器模块、视频数据信号采集模块、处理和控制模块、视频存储器模块和视频输出模块。第四章讲述了本文中的软件设计流程,包括软件程序的设计,并对采集的图像进行了处理,并给出了所需要的图像算法,最后给出了设计的结果。第五章为本文的总结。2系统整体介绍2.1 基于FPGA数字图像处理技术数字图像的算法处理通常由软件来完成,但随着VLSI技术的发展,从硬件上对实时图像进行处理成为一个新的发展趋势。

25、VLSI(Very Large ScaleIntegration超大规模集成)电路可以如图2-1所示进行分类(文献1)。FPGA是一类称为现场可编程逻辑(field programmablelogic,FPL)器件中的一员。FPL被定义为含有现场可反复使用的小规模逻辑模块和单元可编程器件。鉴于FPGA是特定用途的集成电路,所以FPGA被认为是一种特殊的专用集成电路(ASIC)。图2-1 VLSI电路的分类-参考文献1完整的嵌入式数字视频图像处理过程大体上可分为如下几个方面:图像信息的获取、图像信息的存储、图像信息的传送、图像信息处理、以及图像信息的输出和显示。系统框图如图2-2。图2-2 图像

26、处理系统框图图像信息的获取就是要把图像转换成适合输入到计算机或数字设备的数字信号,其过程主要包括采集图像、光电转换及数字化等几个步骤。目前采集图像可以使用CCD、CMOS等图像传感器或摄像设备。图像信息的突出特点是数据率高、,数据量巨大,在系统中进行帧缓存时可以采用动态存储器。为解决海量存储问题主要研究数据压缩、图像格式技术等。图像信息内部传送多采用DMA技术(Direct Memory Access)以解决速度和CPU开销问题。数字图像处理概括地说主要包括如下几项内容:图像复原(Image Restoration),图像增强(Image Enhancement),图像重建(Image Rec

27、onstruction),图像编码(Image Encoding),图像识别(Image Recognition)。图像处理的最终目的是为人或机器提供一幅更便于理解和识别的图像。因此,图像输出也是图像处理的重要内容之一。目前主要的图像显示设备是CRT和LCD。我所需要设计的是一个基于FPGA能够针对各种图像信号进行处理的图像处理系统。该系统适应于现在所普及的数字摄像头所捕获的视频图像,并最终能将处理过的图像在普通显示器上正确的显示出来。2.2 基于FPGA数字图像处理平台嵌入式数字图像处理的全部过程主要依赖于两个方面,一是数字图像的获取,另一个是数字图像处理技术。所以图像传感器和处理器的选择会

28、直接影响到最终成果的优劣。2.1.1 摄像机部分目前的摄像机都是按照视频标准设计制造的,一般都能够输出标准制式的模拟视频信号,这样的摄像机都可以应用于本系统。下面对最基本的模拟视频黑白电视信号进行简单介绍。黑白电视的图像信号是携带着一行行、一场场景物信息的电信号。电子束扫描将一幅图像的亮度分布进行像素分解,使之转变成按逐行逐场时间顺序排列的电信号。某时刻输出的电流信号正比于该时刻电子束所扫描象素的亮度大小。例如一中副又条从白到黑宽度相等的垂直条图像,如图2-3(a)所示,其特点是:只有水平方向变化,而无垂直方向变化,所以它是按行周期变化的。按照信号幅度正比于亮度人小的原则画出一行的信号波形如图

29、2-3(b)所示。因为图像亮度只有正值而无负值,所以图像信号也是单极性的。黑色的信号电平对应为零,灰色和白色的信号电平都是正值而无负值。图像信号的极性在电路传送与处理过程中是经常变化的,如电路某处为正极性,经过一次放大倒相后,就变成负极性的了。为了方便起见,有如下规定:若图像越亮,信号电平越高,则称为正极性图像信号。反之若信号电平随着图像亮度的增加而降低,则称为负极性图像信号。图 23(a)所对应的负极性图像信号如图2-3(c)所示。电视信号由水平扫描和垂直扫描形成,要重现发端所传送来的电视图像,就要求接收端也按照发送端的扫描方式来再现电视图像,即要求接收端的行,场扫描频率和发送端的一样,行,

30、场扫描信号的相位也保持一致,做到同频同相,这就叫同步。水平方向的扫描同步称为水平同步,也称为行同步,垂直方向的扫描同步称为垂直同步,也称为场同步,两种同步混合在一起成为复合同步。 (a) (b)(c)图2-3 垂直条图像信号前面已经提到,在电视扫描中有行正程、行逆程、场正程、场逆程。早期在行逆程里不传送电视图像,而只播送一个零电平(黑电平),称为行消隐电平。同理,早期在场消隐期间也不传送电视图像,而只传送一个黑电平信号,称为场消隐电平。按时间顺序将行消隐脉冲序列和场消隐脉冲序列组合在一起称为复合消隐脉冲,如图2-4所示。我国广播电视规定:行消隐脉宽为12,场消隐脉宽为:。图2-4 复合消隐脉冲

31、2.2.2 A/D部分传统的输出标准PAL或者NTSC制式模拟信号的摄像头,在接入系统时首先需要使用高速视频编解码芯片将模拟信号转换为数字信号,再使用处理器采集。如果编解码芯片输出的时序和处理器的时序不匹配,那么就还需要使用CPLD或者FPGA等一类可编程器件进行时序匹配。在视频的A/D转换部分,我使用了Philips公司的视频解码芯片SAA7113H。SAA7113是飞利浦公司视频解码系列芯片的一种,非常具有代表性,在很多视频产品如电视卡、MPEG2、MPEG4中都有应用。它可以输入4路模拟视频信号,通过内部寄存器的不同配置可以对4路输入进行转换,输入可以为4路CVBS或2路S视频(Y/C)

32、信号,输出8位“VPO”总线,为标准的ITU 656、YUV 4:2:2格式。7113兼容PAL、NTSC、SECAM多种制式,可以自动检测场频适用的50或60Hz,可以在PAL、NTSC之间自动切换。7113内部具有一系列寄存器,可以配置为不同的参数,对色度、亮度等的控制都是通过对相应寄存器改写不同的值,寄存器的读写需要通过I2C总线进行。2.2.3 系统其它模块的设计1存储器SDRAM具有存储量大的优势,但本系统中乒乓缓存机制主要采用SRAM。后面的章节将阐述为何选用SRAM作为乒乓缓存机制的储存器。FPGA具有和SRAM的较好的融合能力,而SRAM具有比SDRAM高速特点。2电源模块电源

33、模块的设计是依照系统其它部分的电源要求决定的,因此在系统其它部分芯片的选型上应该考虑电源的要求,芯片的电压要求应该尽量一致,以简化电源的设计。本系统主要需求的电源为1.2V与3.3。EP2C35的电源模块如图25所示图2-5 EP2C35的电源模块3.逻辑互联本系统中有些芯片的接口的时序要求都比较严格和苛刻,用分立元件来实现会使系统变得复杂凌乱,因此本系统基于FPGA的平台通过软件编程出不同的分频电路并优化来满足系统的时序要求。2.2.4 系统硬件整体框图随着微处理器、专用逻辑器件、以及DSP算法以IP核的形式嵌入到FPGA中,FPGA可实现的功能越来越强,FPGA在现代电子系统设计中正发挥着

34、越来越重要的作用。本文设计的显示控制器就是用Verilog HDL语言描述,基于FPGA而实现的。该系统硬件框图如图2-6所。图2-6 图像处理硬件系统框图2.3 任务流程对于本系统,主要工作流程如图2-6所示构建图像处理硬件平台的用Verilog编写顶层程序编写视频信号采集处理程序RAM读取程序移植图像处理算法程序Display对接收的图像,数据进行算法测试编写数据传输程序编写图像显示程序图2-6设计流程图3系统硬件设计3.1 EP2C35及其特点3.1.1 EP2C35本系统采用了ALTERA公司Cyclone2系列的EP2C35开发板,支持PAL/NTSC制式的视频输入,输出格式为VGA

35、格式,具有电源管理模块和电源指示灯。两片8MB K4S641632 SDRAM芯片组成32位宽共16M BYTE容量,其中每片设计为可兼容64MB SDRAM芯片,总共最大可扩充到128MB;两片512KB的IS61LV25616AL SRAM芯片组成32位宽共1M BYTE容量,其中每片设计为可兼容1MB,总共最大可扩充到2MB;其特点为功耗小,可扩展性能力比较强。图3-1 EP2C35核心开发板原理图对应的管脚分配原理图图3-2 EP2C35管脚原理图3.2 外围硬件设计该基于FPGA的图像采集系统的电路设计。主要包括视频A/D转化芯片SAA7113H、采样控制器下、存储芯片SDRAM。由

36、该系统得到分辨率800*600、60Hz的图像。SAA7113H的初始化设置通过I2C总线来实现。该基于FPGA的图像采集系统的电路设计。主要包括视频A/D转化芯片SAA7113H、采样控制器下、存储芯片SRAM。由该系统得到分辨率800*600、60Hz的图像。SAA7113H的初始化设置通过I2C总线来实现。该图像采集系统主要由模拟视频信号解码模块,I2C控制接口模块,采样控制模块,SDRAM存储控制模块。SAA7113H芯片把从CCD采集来的模拟视频信号转化成Y U V =4 2 2格式的数字图像信号。这些信号在同步脉冲的作用下进入采集控制器。采样控制器在奇偶场控制信号下把图像信息存入S

37、RAM中。该系统可以实现由隔行扫描图像到逐行图像的转化及存储。图像采集系统框架如图33所示:图3-3图像采集系统框架3.2.1 电源模块本系统主要需求的电源为1.2V与3.3。EP2C35的电源模块如图25所示图3-4 EP2C35的电源模块3.2.1 视频解码SAA7113从模拟摄像头输出的视频信号中除了包括图像信号外,还包括场同步、行同步等信号,它们和图像信号混合在一起,所以不能直接对其进行A/D转换。要得到图像数据,首先就要对视频信号进行必要的同步分离。对视频信号进行同步分离可以采用分离元件也可以采用专用的视频解码芯片。本系统中采用Philips公司的可编程视频解码器。SAA7113是飞

38、利浦公司视频解码系列芯片的一种,在很多视频产品,如电视卡,MPEG-2, MPEG-4中都有应用。SAA7113的主要作用是把输入的模拟视频信号解码成标准的VPO数字信号,相当于一种A/D器件。主要功能特点如下:1.具有四路模拟输入通道,并可以进行内部模拟信号源选择,如4-CVBS, 2-Y/C或者1xY /C和2-CVBS;2对所选的CVBS(或Y/C)通道可编程实现静态增益控制或者自动增益控制,且有两个内置的模拟抗混叠滤波器;3.可自动检测50Hz和60Hz场频视频信号,在PAL和NTSC制式间自动切换。可对下列制式的视频信号进行亮度和色度处理:PAL BGHI, PAL N,联合PALN

39、, PALM, NTSC M. NTSC N, NTSC 4. 43, NTSC-Japan和SECAM;4. VPO总线输出标准ITU656 YUV4:2:2格式的数字视频;5.对不同制式标准只需要一个24.576MHz的晶振;6.由外部控制器控制读写的总线,最高速率可达400kbit/s;内部结构如图3-9所示。图3-9 SAA7113结构图四条视频信号输入引脚:AI l l , AI12, AI21, AI22。当视频信号从上述一引脚进入之后,首先进行模拟数字转换处理,然后通过缓冲器输出一路到AOUT端用于监视,另一路经A/D后产生数字色度信号和亮度信号分别对其进行处理。经过处理后的亮度

40、信号一路送到色度信号处理电路经过综合处理后产生Y, U, V信号,再经过格式化后从16位的VPO输出;另一路进入同步分离电路,并经数字PLL产生行、场同步信号HS和VS,同时PLL驱动时钟发生电路产生与HS锁定的时钟信号LLC。色度信号处理电路的工作过程通常是;从A/D出来的8位数字色度信号被送入平方解码器,在此利用了两个副载波信号,其中副载波信号的相位与解码器成或的关系,频率由当前所输入视频信号的色彩制式所决定。从平方解码器出来的色差信号经过一个低通滤波器后便可获得所需带宽的色差信号。Y信号也被送到色度信号处理器,经过延时补偿与梳状滤波后的YUV信号一起进入RGB变换矩阵以产生RGB信号,然

41、后通过格式选择器由VPO输出。SAA7113 有256个内部寄存器(Subaddress00HFFH),其中00H 芯片版本信息寄存器是只读的。01H05H 是前端配置状态寄存器,用于设置芯片前端模拟通道处理的工作状态,具体根据输入模拟视频信号的类型和格式进行设置,例如模拟输入处理的工作模式就是通过设置02H 确定的。06H13H、15H17H 是解码部分的工作方式配置寄存器,进行同步信号控制、BCS 控制和输出数据控制,其中12H 寄存器用来设置RTS0、RTS1 的功能,11H13H 是输出控制寄存器;1FH 是只读的解码状态寄存器,报告解码过程中的各种信号状态;40H60H、60H62H

42、 是行/场图像控制、状态寄存器,用于设置VPO 的数据格式等;内部寄存器14H、18H1EH、20H3FH 及63HFFH 保留使用。SAA7113的电路图如图所示:模块在Verilog编程语言的控制下,把SAA7113H输出的一帧灰度数字视频图像数据进行奇偶场的分开存储,接收从7113输出的8位数据,达到隔行到逐行的图像转换,与此同时,通过控制行采集数和列采集数,满足800*600、60Hz的分辨率的要求。在场同步信号有效期(高电平)内,输出行同步信号,从而就可以依照前面所讲的读出每一行的数据,最终得到整幅的图像数据。在场同步信号为低电平时,行同步信号的数据信号均无效。图3-10采样控制器模

43、块I2C串行总线一般有两根信号线,一根是双向的数据线SDA,另一根是时钟线SCL。所有接到I2C总线设备上的串行数据SDA都接到总线的SDA上,各设备的时钟线SCL接到总线的SCL上。典型的I2C总线结构如图3-4所示。 图3-4 I2C总线结构设备上的串行数据线SDA接口电路应该是双向的,输出电路用于向总线上发送数据,输入电路用于接收总线上的数据。而串行时钟线也应是双向的,作为控制总线数据传送的主机,一方面要通过SCL输出电路发送时钟信号,另一方面还要检测总线上的SCL电平,以决定什么时候发送下一个时钟脉冲电平;作为接受主机命令的从机,要按总线上的SCL信号发出或接收SDA上的信号,也可以向

44、SCL线发出低电平信号以延长总线时钟信号周期。总线空闲时,因各设备都是开漏输出,上拉电阻R使SDA和SCL线都保持高电平。任一设备输出的低电平都将使相应的总线信号线变低,也就是说:各设备的SDA是“与”关系,SCL也是“与”关系。 总线对设备接口电路的制造工艺和电平都没有特殊的要求(NMOS、CMOS都可以兼容)。在I2C总线上的数据传送率可高达每秒十万位,高速方式时在每秒四十万位以上。另外,总线上允许连接的设备数以其电容量不超过400pF为限。在I2C总线传输过程中,将两种特定的情况定义为开始和停止条件:当SCL保持“高”时,SDA由“高”变为“低”为开始条件;当SCL保持“高”且SDA由“

45、低”变为“高”时为停止条件,如图3-5所示。开始和停止条件均由主控制器产生。使用硬件接口可以很容易地检测到开始和停止条件,没有这种接口的微机必须以每时钟周期至少两次对SDA取样,以检测这种变化。 图3-5起始位和停止位时序关系总线的运行(数据传输)由主机控制。所谓主机是指启动数据的传送(发出启动信号)、发出时钟信号以及传送结束时发出停止信号的设备,通常主机都是处理器。被主机寻访的设备称为从机。为了进行通讯,每个接到I2C总线的设备都有一个唯一的地址,以便于主机寻访。主机和从机的数据传送,可以由主机发送数据到从机,也可以由从机发到主机。凡是发送数据到总线的设备称为发送器,从总线上接收数据的设备被

46、称为接收器。I2C总线在开始条件后的首字节决定那个被控器将被主控器选择,例外的是“通用访问”地址,它可以在所有期间寻址。当主控器输出一地址时,系统中的每一器件都将开始条件后的前7位地址和自己的地址进行比较。如果相同,该器件即认为自己被主控器寻址,而作为被控接收器或被控发送器则取决于R/W位。I2C总线上传送的一个数据字节由八位组成。总线对每次传送的字节数没有限制,但每个字节后必须跟一位应答位。数据传送首先传送最高位(MSB)。首先由主机发出启动信号“S”(SDA在SCL高电平期间由高电平跳变为低电平),然后由主机发送一个字节的数据。启动信号后的第一个字节数据具有特殊含义:高七位是从机的地址,第八位是传送方向位,0表示主机发送数据(写),1表示主机接收数据(读)。被寻址到的从机设备按传送方向位设置为对应工作方式。所有连接在I2C总线上的设备都接收启动信号后的第一个字节,并将接收到的地址与自己的地址进行比较,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号