毕业设计(论文)0.75KW异步电机变频调速实验装置设计.doc

上传人:laozhun 文档编号:3976016 上传时间:2023-03-30 格式:DOC 页数:39 大小:2MB
返回 下载 相关 举报
毕业设计(论文)0.75KW异步电机变频调速实验装置设计.doc_第1页
第1页 / 共39页
毕业设计(论文)0.75KW异步电机变频调速实验装置设计.doc_第2页
第2页 / 共39页
毕业设计(论文)0.75KW异步电机变频调速实验装置设计.doc_第3页
第3页 / 共39页
毕业设计(论文)0.75KW异步电机变频调速实验装置设计.doc_第4页
第4页 / 共39页
毕业设计(论文)0.75KW异步电机变频调速实验装置设计.doc_第5页
第5页 / 共39页
点击查看更多>>
资源描述

《毕业设计(论文)0.75KW异步电机变频调速实验装置设计.doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)0.75KW异步电机变频调速实验装置设计.doc(39页珍藏版)》请在三一办公上搜索。

1、 毕业设计(论文) 题目名称:0.75KW异步电机变频调速实验装置的设计 学院名称:电子信息学院 班 级:电气类112 学 号:201100474228 学生姓名: 指导教师: 2015 年 6 月论文编号:2011004742280.75kW异步电机变频调速实验装置的设计The design of the experimental device for frequency conversion and speed regulation of 0.75KW asynchronous motor 学院名称:电子信息学院班 级:电气类112学 号:201100474228学生姓名:指导教师: 20

2、15 年 6 月摘 要随着电力电子技术和交流电机控制理论的发展,交流电机的控制性能变得越来越出色,再加上其可靠稳定的特点,在电气传动领域逐渐发挥至着更加重要的角色。本论文是对交流异步电机变频调速实验装置进行研究和设计。在分析恒压频比U/F控制方式和空间矢量SVPWM技术对交流异步电机进行控制的原理的基础上,使用MATLAB软件搭建仿真电路进行仿真,对仿真所得的一系列波形进行分析。以TI公司的TMS320F2812为核心,进行软硬件的设计。硬件电路由IPM智能逆变模块、检测电路、光电耦合隔离电路、脉冲编码电路等组成。软件使用CCS5.1进行编程,编程语言采用C语言。搭建实际电路进行测试和验证实验

3、,分析该装置的性能和实用性。通过一系列的实验表明该实验装置基本达到设计要求,能够满足一般性实验的要求,亦可在该装置的基础上进行创新性实验。关键字:交流异步电机,变频调速,SVPWM,实验装置AbstractWith the development of power electronic technology and AC motor control theory, the control performance of AC motor becomes more and more outstanding, together with its stable and reliable charact

4、eristics,it gradually play the more important role in the field of electric drive.This thesis is to study and design the experimental device of AC asynchronous motor frequency conversion and speed regulation. In analysis of constant voltage frequency ratio U / F control mode and the space vector PWM

5、 technique to control principle of AC asynchronous motor, Using MATLAB software to build simulation circuit simulation, the simulation of a series of waveforms analysis. TMS320F2812 of TI company as the core to design hardware and software.The hardware circuit is composed of IPM intelligent inverter

6、 module, detection circuit, photoelectric coupling isolation circuit, pulse code circuit and so on.The software uses CCS5.1 to carry on the programming, the programming language uses C language. The actual circuit is tested and the experiment is verified, and the performance and practicality of the

7、device are analyzed. By a series of experiments, the experimental equipment basically meets the design requirements, and can meet the requirements of the general experiment, and can also carry out the innovative experiments on the basis of the device.Keywords: AC motor frequency converter SVPWM expe

8、rimental apparatus目 录1引 言11.1课题研究的背景及意义11.2交流电动机变频调速的发展11.2.1电力电子技术的发展11.2.2变频调速理论的发展21.3实验装置设计要求32三相交流异步电动机调速原理42.1三相交流异步电机的调速42.2三相交流异步电动机变频调速42.2.1变频调速原理42.2.2 恒压频比控制方式52.2.3 MATLAB仿真62.3电压空间矢量SVPWM基本原理92.3.1电压空间矢量脉宽调制法92.3.2电压空间矢量技术原理92.3.3磁链轨迹的控制113主电路及硬件电路设计133.1整体方案133.1.1主电路设计143.1.2光电耦合隔离电路

9、143.1.3电流检测电路153.1.4故障保护电路163.1.5供电电源163.1.6 正交脉冲编码电路174系统软件设计184.1 TMS320F2812简介184.2软件流程图184.3 SVPWM技术的DSP实现方法214.3.1软件法生成SVPWM214.3.2程序介绍215实验研究246总 结29参考文献31致 谢32附 录331引 言1.1课题研究的背景及意义电机控制课程是高校电气工程专业的重点课程之一,而电机调速在我们的生活和生产中应用广泛,例如:电动工具、电动机车、家用电器、轮船、轧钢、造纸和纺织行业等等。如果学生能充分利用自己所学的知识在合适的实验装置上进行必要的实验,既能

10、提高学生的动手能力,又能够加强对所学知识的理解。经过了二十多年的发展,近代交流传动逐渐成为电气传动的主流。目前交流拖动系统的应用领域主要包括三个方面,一是一般性能的节能调速系统和按工艺要求的调速系统:如一般的风机、水泵系统;二是高性能的交流调速系统和伺服系统,如精密机床拖动系统和火炮伺服系统;三是特大容量和极高转速的交流调速系统,如厚板轧机、矿井卷扬机和高速离心机等系统。交流拖动系统中变频调速研究是当前电气传动研究中最为活跃、最有实际应用价值的研究方向。变频器产业的潜力非常巨大,它包括所有与变频器技术相关的产业,如驱动保护集成电路的制造、电力电子器件的生产、电气传动技术和工业应用技术等。基于D

11、SP芯片TMS320F2812的电机控制装置,利用电压空间矢量调制技术实现异步电机运动控制,目的是增强对电机的控制,使其能够具有更快的响应、更高的精度高、更加节能等特点。使其能在在生产和生活过程中给人们带来更大的便利,并且能够节约更多的资源和时间。虽然变频调速控制理论的发展日臻完善,但是相应的实验装置的发展却不尽人意,市场现有的实验装置成本昂贵,使用不方便。目前越来越多的高校开设了电机控制和DSP课程,对变频调速实验装置有很大的需求,因此本文研究的内容具有很重要的现实意义。1.2交流电动机变频调速的发展1.2.1电力电子技术的发展电力电子器件是现代交流调速实验装置的基础,它的发展直接影响交流调

12、速的发展。20世纪50年代美国通用电气研制出世界上第一个商用的晶闸管,晶闸管的出现加快了电力电子技术的发展。20世纪70年代,以电力双极型晶闸管、门极可关断晶闸管和电力场效应管为代表的全控型器件迅速发展。全控型器件的特点是,通过对门极(基极、栅极)的控制既可以使其开通或关断。并且这些器件的开关速度明显高于晶闸管,能够应用在开关频率较高的电路。这些优越的特征使得电力电子技术的面貌焕然一新,推动了电力电子技术的发展。脉冲宽度调制技术在电力电子变流技术中占有重要的地位,它在整流、逆变、直流斩波、交流-交流控制等电力电子电路中均可应用。这使电路的控制性能大为提升,对电力电子技术的发展有深远的影响。20

13、世纪80年代,以绝缘栅极双极型晶体管为代表的复合器件发展了起来。它使得全控型器件具有MOSFET的驱动功率小、开关速度快的优点和BJT的通态压降小、载流能力大、可承受电压高的优点,成为现代电力电子技术的主导器。1.2.2变频调速理论的发展(1)V/F控制早期的变频调速系统通常采用恒压频比开环的控制方式,即V/F=常数。其优点是结构简单、成本低、易操作,缺点是控制性能差。其控制曲线会随着负载变化而变化,转矩响应慢,电压利用率不高。这种控制方式比较适合对控制要求不高的场合。(2)矢量控制20 世纪70 年代首先提出矢量控制理论,目的是把定子电流中励磁电流分量与转矩电流分量变成标量独立开来,进行分别

14、控制,可以使得异步电机等效于直流电机,进而像控制直流电机那样进行快速的转矩和磁通控制。但是矢量控制系统存在很多问题,比如:转子磁链难以准确观测,系统性能受电机参数变化的影响较大,在模拟直流电机控制过程中所用的矢量旋转坐标变换复杂等等。(3)直接转矩控制1985 年德国鲁尔大学教授首先提出直接转矩控制理论。直接转矩控制摒弃了解耦的思想,取消了旋转坐标变换,而是简单地通过检测电机定子电压和电流,借助瞬时空间矢量理论来计算电机的磁链和转矩,实现磁链和转矩的直接控制。直接转矩也有其缺点,由于直接转矩控制系统直接进行转矩控制,控制定子磁链而不是转子磁链,因此不可避免地产生转矩脉动。(4)电压空间矢量控制

15、SVPWM调制技术一出现就受到人们的高度重视,因为其独特的矢量调制方式,把电动机和PWM逆变器看为一体,着眼于如何使电动机获得幅值恒定的近圆形磁场为目标,它以三相对称正弦电压供电时交流电动机中的理想磁链圆为基准,用逆变器不同的开关模式所产生的磁链有效矢量逼近基准圆。SVPWM调制具有转矩脉动小,噪音低,直流电压利用率高等优点。1.3实验装置设计要求0.75kW异步电机变频调速实验装置要求:输入220V、50Hz单相交流;输出三相交流,频率范围10-50Hz;装置具有人机界面;该装置的结构设计应以方便实验教学为目标。该课题要求学生运用异步电机变频调速相关的知识,对其进行仿真、工程设计和调试。具体

16、内容有:1、0.75kW异步电机变频调速系统的MATLAB仿真。2、0.75kW异步电机变频调速硬件平台设计。3、0.75kW异步电机变频调速控制程序设计。4、0.75kW异步电机变频调速实验装置的调试。2三相交流异步电动机调速原理2.1三相交流异步电机的调速三相交流异步电动机又称为三相感应电动机。三相异步电动机在工业中应用非常广泛,因为其结构简单、制造方便、价格便宜、运行可靠。其主要缺点是,功率因数恒为滞后,轻载时功率因数很低,调速性能比直流电动机差。交流异步电动机的转速公式可以表示为: (2-1)式中,n 为电机转速(r/min);p为电机磁极对数;f为电源频率(Hz);s为转差率。由式(

17、2-1)可知影响电机转速的因素有:电机磁极对数p,转差率s和电源频率f。所以三相异步电机的调速方法有:变极调速;变转差率调速;变频调速。(1)变极调速在电源频率不变的情况下,改变电动机的定子绕组极对数,就可以改变旋转磁场和转子的转速。变极调速只能一级一级地调,不能连续调速。(2)变转差率调速通过转子外加电阻来调速,这仅可用在绕线型异步电机。这种方法调速简单、范围广,但是串入的电阻会消耗一定的功率。转子加入电阻来调速,会有较大损耗,为利用这部分电功率,可以在转子回路加入一个转差率的功率变换装置,让这部分功率送回电网,既达调速目的,又获得较高效率。双馈电机也是一种改变转差率的调速方式。(3)变频调

18、速变频调速是通过改变电源频率来改变电机转速,如果电源频率可以连续调节,则电动机的转速就可以连续、平滑地调节。2.2三相交流异步电动机变频调速2.2.1变频调速原理变频调速是改变电动机的定子电源频率,从而改变其同步转速的调速方法。变频调速系统的主要器件是变频器,变频器可分为交直交变频器交交变频器两大类,目前国内大部分是用的都是交直交变频器。变频调速的优点是: 效率高,调速过程没有其他附加损耗; 调速范围宽广,特性硬,精度高; 应用的范围大,可用于笼型电动机; 对于低负荷运行时间较多或启停频繁的场合,能够达到节电和保护电机的目的。缺点是:造价高,技术复杂,维修困难。本方法适用于要求精度高,调速性能

19、好的场合。2.2.2 恒压频比控制方式变频调速系统一般要求在变频时保持电机气隙磁通为最大值不变,这样可以在允许的电流下获得最大的转矩,使电动机具有良好的调速性能。交流电机每相定子感应电动势为 (2-2) 式(2-2)中,是由电动机结构决定的常数。从式(2-2)可见,在改变频率时要保持气隙磁通不变,就需要同时改变,使随变化并保持为固定的常数。因为不能直接检测和控制,在忽略定子绕组电阻时近似等于电动机端电压。而和都可以方便地通过变频器控制,因此仅要求稳态时转速的调节,异步电动机变频调速系统常采用恒压频比控制。图2-1 恒压频比变频调速系统原理图恒压频比变频调速系统的基本结构如图2-1所示,系统由升

20、降时间设定GI、U/f曲线、SPWM调制和驱动等环节组成,其中升降时间设定用来限制电动机的升频速度,避免转速上升过快而造成电流和转矩的冲击,相当于软起动控制的作用。U/f曲线是根据频率确定相应的电压以保持压频比不变,在低频时为了补偿定子电阻的电压降,需要适当提高补偿电压。一般恒压频比控制变频调速系统是转速的开环调速系统,控制比较简单,基本能满足异步电动机稳态调速的要求。因此,在变频的同时也需要变压,这就是所谓的VVVF(Variable Voltage Variable Frequency)。2.2.3 MATLAB仿真使用MATLAB仿真软件搭建异步电动机变频调速仿真平台,对V/F开环控制进

21、行仿真,观察各变量的变化情况。仿真模型如图2-5所示。使用的软件版本是MTALABR2007b。在该仿真模型中,V/F模块中图2-2 V/F模块 (2-1)Ua、Ub、Uc的计算公式分别是 Ua=u(1)*sin(u(2)*2*pi*u(3) (2-2) Ub=u(1)*sin(u(2)*6.283*u(3)-2.0944) (2-3) Uc=u(1)*sin(u(2)*6.283*u(3)-4.189) (2-4)图2-3 电压模块输入的电压通过PWM产生模块进入逆变桥来控制开关管的通断。图2-4 PWM模块直流侧电压为310V,电机选用750W三相异步电动机,通过TL模块对电机进行加载。测

22、量模块可以测得电机转速、转矩、电压和电流的波形。图2-5转速开环VVVF系统仿真模型仿真结果:图2-6转速变化曲线图2-7转矩变化曲线仿真结果表明,在起动的03秒中转速经历了上升、超调和稳定的过程,空载额定转速为1500 r/min。在3s时给电动机加负载,转速下降到1300 r/min,变化情况与预想的情况一致。转矩的波动情况比较大,主要是由于逆变器输出电压不够稳定造成的,这是模型需要进一步改进和在调试中应注意的。仿真结果表明恒压频比控制的VVVF系统有良好的稳态调速性能,但是其动态性能还需要改进。2.3电压空间矢量SVPWM基本原理2.3.1电压空间矢量脉宽调制法电压空间矢量脉宽调制方法是

23、交流异步电动机的一种控制方法,电压空间矢量PWM方法与普通的正弦PWM方法并不同。它从电动机的角度出发,把电动机和逆变器看作一个整体考虑,从如何使电机获得幅值恒定的圆形旋转磁场出发,即正弦磁通。以三相对称正弦波电压供电时交流电机的理想磁通圆轨迹为基准,用逆变器不同的开关模式所产生的实际磁通逼近基准圆磁通,并从它们比较的结果来决定逆变器的开关状态,形成PWM波形,磁链轨迹的控制是通过交替使用不同的电压空间矢量实现的,因此称作“电压空间矢量PWM控制”。因为该控制方法把逆变器和异步电动机看作一个整体来处理,所用到的数学模型与数字算法都很简单,便于微处理器进行实时控制,无论在开环调速系统或闭环调速系

24、统中均得到了广泛的应用。2.3.2电压空间矢量技术原理图2-8所示电路为三相逆变器供电给异步电动机的原理图。图中有6个功率开关管,当上桥臂开关管处于 “开” 状态,下桥臂开关管处于“关”状态时,用“1”表示;当上桥臂开关管处于“关”状态时,下桥臂开关管处于“开”状态,则用“0”表示。三个桥臂共有000、001、010、011、100、101、110、111八种开关模式,000、111开关模式表示逆变器输出的电压为零。只要控制这些基本的空间矢量的组合,同时再将零矢量合理分配,就能使瞬态输出的空间电压矢量按照一定的圆形的轨迹旋转。图2-8三相逆变器主电路电压源逆变器可由图2-8所示的6个开关来等效

25、表示。如图2-8所示,当上桥臂开通、下桥臂关断时,即Sa=1时,U=0.5Udc;当上桥臂关断、下桥臂开通时,即Sa=0时,U=-0.5Udc ,Sc同理。 逆变器的8种开关模式对应有8个电压空间矢量。采用坐标变换,将三相电压变换到d-q轴系。 (2-5)式中:通过不同的矢量组合可以合成新矢量,设相邻两个有效矢量V1和Vm,零矢量为Vo,合成新矢量Vout,矢量作用时间分别是T1、Tm、To。Tpwm是PWM脉宽周期。合成新矢量的表达式为 (2-6) (2-7)矢量分别投影到横、纵坐标轴,得 (2-8) (2-9) 整理可得SVPWM的基本公式为 (2-10) (2-11)2.3.3磁链轨迹的

26、控制逆变器按照所示电压依次输出给电动机供电,则电动机定子磁链矢端的运动轨迹将是一个正六边形,从改善点击电流波形和提高电力电子半导体器件的实用效率的角度来考虑,可以适当提高开关频率,这样可以利用空间矢量的线性持续时间组合使产生的磁链轨图 0-9 基本空间电压矢量迹更加逼近圆形。若逆变器的采样周期为T,则有: (2-12)其中t1,t2为某两个非零空间电压矢量在采样周期内作用的时间,t0为零矢量作用的时间。由积分近似公式有: (2-13)V*为正弦电压设定值,V*T为在第k个采样周期的磁链设定值的增量,V1t1和V2t2为电压矢量V1和V2分别在各自的作用时间里所产生的磁链增量。 (2-14) (

27、2-15)只要调整t0,t1,t2的作用时间,就可以达到变频调速的目的。此外,为了使磁链的运动速度平滑,零矢量不是集中加入,而是将零矢量平均分成几份,多点地插入到磁链轨迹中,但作用时间和仍为t0,这样就可以减少电动机的转矩的脉动。图 0-10 空间矢量的线性合成3主电路及硬件电路设计3.1整体方案一套良好的教学实验装置需要具有安全性、直观性、稳定性、实用性等特点。本实验装置的设计以电动机变频调速实验的特点为基础,能满足基本教学的要求。异步电机变频调速实验装置总体方案框图如图3-1所示。系统主要由主电路模块和控制模块两部分组成。主电路采用交直交电压型逆变电路,主要由整流电路、滤波电路及智能功率逆

28、变电路组成,逆变电路则由IPM模块来完成。实验装置主控制器采用的是TMS320F2812 DSP,该主控器时TI公司专为电机控制生产的,能保证实验装置的良好性能,使用者只需要编写相应的控制程序,就可以在本平台上实现设计性实验。控制电路以DSP为核心,完成SVPWM算法,同时,DSP还监控整个系统的运行状态,当系统出现故障时,DSP封锁PWM输出信号,防止发生故障而烧坏器件,确保系统的安全运行。实验装置功率箱采用三菱公司生产的智能功率模块PS21865,功率等级为10A/1000V,内部有6个IGBT管,组成三相桥臂,同时集成了IGBT驱动电路。DSP输出的信号经过高速光耦隔离后可以直接输入给I

29、PM。IPM内部集成过电流和欠电压保护电路。使用IPM极大简化了系统设计,提高了系统的稳定性和可靠性,满足了教学实验装置的要求。实验装置电源箱输出可调的0-220V交流电给主电路供电,同时输出稳定的24V直流电用于控制电路。这些电源之间相互隔离,互不干扰,又有保险措施防止短路、过流等故障,提高了实验装置的安全性。实验装置还提供丰富的开放性接口,包括串行通讯接口,CAN通信接口和JTAG接口。实验装置还提供电压和电流测试仪器,使实验更加直观。图3-1系统总体图3.1.1主电路设计本系统采用交直交电压型逆变电路,主要由整流电路、滤波电路及逆变电路组成。选取整流桥为KBJ10A-10(即10A,10

30、00V),整流后的直流电压。由于输入的电源是单相220V交流电,所以整流电路采用电容滤波的不可控整流电路。主电路工作时,因为智能功率模块IPM的开关频率很高,开关动作时会在直流侧产生电流突变,由于主电路分别电感的存在,在IPM模块内部的IGBT的集电极和发射极以及直流母线上会出现浪涌电压,不但影响逆变器的工作,还会损坏IGBT,因此需要在逆变桥上加上一个吸收缓冲电路。3.1.2光电耦合隔离电路虽然三菱的PS21865采用了高低压IC驱动方案,6路PWM控制信号可以直接与 DSP 连接, 但是由于 DSP 控制板和 IPM 高低压 IC 供电是使用不同的独立电源(即两个电源不共地),同时 IPM

31、 是强电控制而 DSP 是弱电系统,所以本系统中采用高速光耦将 DSP 控制板与 IPM 隔离,采用IPM专用高速光耦HCPL-4504。这种光耦拥有高达15kv/us共模传输速率。图 3-2 就是本系统光电耦合隔离电路原理图。图3-2光耦隔离电路3.1.3电流检测电路使用电流型霍尔传感器进行对线电流进行测量,选择型号为HBC-LSP型霍尔电流传感器。该型霍尔传感器额定电流6A,线圈匝数比为1:600,副边测量电阻阻值为200欧姆。低通滤波电路电容选用值为0.047uF的无极性电容,设截止频率为300Hz,电阻的计算公式如式(3-1)所示。 (3-1)图3-3 霍尔电流检测电路3.1.4故障保

32、护电路当IPM的FO引脚输出低电平脉冲,经光电耦合后把DSP的PDPINTA引脚也拉为低电平,此时所有的PWM输出管脚都呈高阻状态。同样,它与DSP的接口电路也需要进行电平转换,这里采用电阻分压的方式,具体接口电路如Error! Reference source not found.所示。防当故障信号输出时,继电器触点打开,切断电路,防止故障循环输出,达到保护电路和电机的目的。图3-4故障保护电路3.1.5供电电源由于功率板中主要芯片需要额外供电,主要有直流+15V,-15V,5V以及+3.3V等几种等级的电压。本电路采用7815和7915三端稳压管输出所需的直流+15V,-15V。具体电路如

33、图3-5所示。采用LM2575稳压管产生所需5V直流电源,采用TPS73HD318产生+3.3V和+1.8V的直流电源。具体电路如图3-6所示。IPM智能逆变器采用单电源供电,电源电路如图3-7所示。图3-5 15V电路图3-6 DSP供电电源电路图3-7 IPM电源供电模块3.1.6 正交脉冲编码电路光电编码器是一种通过光电转换装置将输出轴的角度变化转化为脉冲信号的传感器,也是母亲应用最多的角度传感器,主要由光栅盘和光电检测电路组成。在电机控制系统中,光电编码器通常和电机同轴安装,当电动机运行时光栅盘与电动机转子同步旋转,经过光源和光敏二极管等原件组成的检测装置输出脉冲信号可反应转角变化,而

34、脉冲的频率反映了电动机的转速。并且,为了便于判别旋转方向,编码器均提供一组相位相差90度的输出脉冲,根据两路输出脉冲的相位可确定电机的旋转方向。4系统软件设计4.1 TMS320F2812简介TMS320C2000系列DSP芯片是TI面向工业控制推出的数字信号处理器,既具备数字信号器的强大运算能力,又像单片机一样在片内集成丰富的外设与控制模块,又被称作数字信号控制器。DSP的主要特点:A. 快速的指令周期,支持在一个周期内完成一次乘法和一次加法;B. 采用改进的哈弗总线结构,可同时完成获取指令和数据读取操作;C. 快速中断处理和硬件I/O支持,保证了实时相应能力;D. 专用寻址单元,具有在单周

35、期内操作的多个硬件地址产生器;E. 采用流水线操作;F. 片内集成了丰富的外设模块,简化了系统硬件设计。4.2软件流程图系统软件由主程序、中断程序和子程序组成。其中,主程序包括系统初始化和主循环等待;子程序包括电机运行频率和指令给定子程序;中断程序包括SVPWM波形的生成和功率驱动保护中断程序。系统主程序流程图如Error! Reference source not found.所示。利用DSP定时器的下溢功能产生中断,即进入子程序计算出下一个PWM周期的三个比较寄存器的比较值。程序可以实现调制波频率10-50Hz的变频功能,其中载波频率和采样频率可以根据实际情况由软件进行设置。对于主程序流程

36、,首先是系统的初始化,初始化系统控制,初始化PIE控制器,初始化PIE中断矢量等。然后初始化定时器1:使能定时器1的周期中断,复位定时器1的周期中断标志。紧接着使能T1下溢中断,INT2开中断。最后对系统其他参数初始化。开始系统初始化初始化定时器T1使能T1下溢中断,INT2开中断初始化系统其他参数模块等待中断 图4-1主程序流程图对于中断服务程序,首先是保存现场并且清除中断标志位,然后执行V/F模块,系统根据给定的V/F控制方程得到相对应的电压,然后执行SVPWM计算模块,得到Ta、Tb和Tc三个值,送入比寄存器。最后清除中断,然后返回。更新PWMDAC模块是为了对产生的SVPWM波的电压电

37、流等变量用示波器进行测量观察。中断服务程序保存现场并清除中断标志位执行V/F模块执行SVPWM计算模块更新PWMDAC清除中断返回图4-2中断服务程序4.3 SVPWM技术的DSP实现方法利用TI公司的TMS320F2812能够很容易地实现电压空间矢量PWM的控制。实现的方法有硬件法和软件法。这里主要介绍软件法生成SVPWM的编程方法。4.3.1软件法生成SVPWM对每一个电压空间矢量PWM波的零矢量分割方法不同以及对非零矢量的选择不同,会产生多样的电压空间矢量PWM波。选择的原则是:尽可能使功率开关管的开关次数最少;任意一次电压空间矢量的变化只有一次桥臂的开关动作;编程容易。目前应用最多的是

38、7段式电压空间矢量PWM波。特点是:每相每个PWM波输出只使功率开关管开关一次,电动机的正反转只与扇区顺序有关。正转时,扇区的顺序是0-1-2-3-4-5-0;反转时,扇区的顺序是:5-4-3-2-1-0-5。为了产生7段式电压空间矢量PWM波形,设计了一个DSP控制程序。在该程序中,调制波频率由外部输入,程序中采样频率和载波频率都是10kHz,可以实现10-50Hz变频功能。程序由主程序和中断服务程序组成。主程序的工作是初始化,根据U/f曲线确定参考电压的幅值。中断服务程序的工作是在每一个PWM周期里,计算出下一个PWM周期的3个比较寄存器的比较值,送入到比较寄存器中。4.3.2程序介绍用C

39、CS5.1软件编写电机控制程序,编程语言使用C语言。C语言是一种非常使用的编程语言,可读性强,编程容易,调试简单。该程序的编写采用定义结构体变量的方法,这样编写程序,使程序更具有可读性,按住Ctrl键,鼠标单击结构体名称,可以对结构体成员进行查看,可以清楚地对该结构体进行了解。此外对一些变量例如:频率、电压等,采用标幺值,使用标幺值的好处是使计算简化,便于修改参数。例如vhz1.FL = _IQ(0.1);vhz1.FH = _IQ(0.5);vhz1.Fmax = _IQ(1)。主程序主要有初始化模块,SVGEN生成模块,PWM更新模块,V/F控制模块。(1) SVGEN生成模块 SVGEN

40、生成模块的作用是产生空间矢量PWM。程序如下:void svgenmf_calc(SVGENMF *v)_iq step_angle_sv,entry_old,dx,dy;_iq T = _IQ(1.0);/* Normalise the freq input to appropriate step angle */* Here, 1 pu. = 60 degree */step_angle_sv = _IQmpy(v-sv_freq,v-sv_freq_max);/* Calculate new angle alpha */entry_old = v-entry_new;v-alpha_sv

41、 = v-alpha_sv+step_angle_sv;if (v-alpha_sv = _IQ(1.0)v-alpha_sv = v-alpha_sv-_IQ(1.0); v-entry_new = v-alpha_sv; dy = _IQsin(_IQmpy(v-entry_new,PI_THIRD); /* dy = sin(entry_new) */dx = _IQsin(PI_THIRD-_IQmpy(v-entry_new,PI_THIRD);/* dx = sin(60-entry_new) */* Determine which sector */if (v-entry_new

42、-entry_oldsector_ptr=5)v-sector_ptr = 0;elsev-sector_ptr = v-sector_ptr + 1; if (v-sector_ptr=0) /* Sector 1 calculations - a,b,c - a,b,c */v-Ta = _IQmpy(_IQ(0.5),(T-dx-dy);v-Tb = v-Ta + dx;v-Tc = T - v-Ta; else if (v-sector_ptr=1) /* Sector 2 calculations - a,b,c - b,a,c & dx dy */v-Tb = _IQmpy(_IQ

43、(0.5),(T-dx-dy);v-Ta = v-Tb + dy;v-Tc = T - v-Tb; else if (v-sector_ptr=2) /* Sector 3 calculations - a,b,c - b,c,a */v-Tb = _IQmpy(_IQ(0.5),(T-dx-dy);v-Tc = v-Tb + dx;v-Ta = T - v-Tb; else if (v-sector_ptr=3) /* Sector 4 calculations - a,b,c - c,b,a & dx dy */v-Tc = _IQmpy(_IQ(0.5),(T-dx-dy);v-Tb = v-Tc + dy;v-Ta = T - v-Tc; else if (v-sector_ptr=4) /* Sector 5 calculations - a,b,c - c,a,b */v-Tc = _IQmpy(_IQ(0.5),(T-dx-dy);v-Ta = v-Tc + dx;v-Tb

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号