毕业设计(论文)九层电梯运行的控制.doc

上传人:仙人指路1688 文档编号:3977505 上传时间:2023-03-30 格式:DOC 页数:30 大小:323KB
返回 下载 相关 举报
毕业设计(论文)九层电梯运行的控制.doc_第1页
第1页 / 共30页
毕业设计(论文)九层电梯运行的控制.doc_第2页
第2页 / 共30页
毕业设计(论文)九层电梯运行的控制.doc_第3页
第3页 / 共30页
毕业设计(论文)九层电梯运行的控制.doc_第4页
第4页 / 共30页
毕业设计(论文)九层电梯运行的控制.doc_第5页
第5页 / 共30页
点击查看更多>>
资源描述

《毕业设计(论文)九层电梯运行的控制.doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)九层电梯运行的控制.doc(30页珍藏版)》请在三一办公上搜索。

1、摘要电梯作为现代化的产物,随着社会的进步和发展,电梯的使用越来越普遍,电梯的功能越来越完善,电梯制造业的技术水平也逐渐与世界同步。由此,一个更为庞大的电梯市场已经在国内崛起。 本课题将采用VHDL语言、QUARTUS II软件实现一个常见的电梯控制系统,遵循方向优先的原则,该系统具备生活中电梯使用的基本功能,能够提前/延时开关电梯门,控制电梯运行开关,指示电梯运行情况、运行时间和所在楼楼层等。本设计主要目标是实现对九层电梯运行的控制,源程序是基于Quartus II软件并用EDA语言编写的。具体是通过对显示模块、整体模块以及工程模块进行仿真,得到仿真的波形图,然后通过波形图的模拟实现控制电梯的

2、运行。经过大量的波形图模拟以及对已有数据和工业生产的控制系统的实际数据比对发现,此次涉及的系统具有运行稳定、安全、便捷等功能,因此具有一定的实用价值。关键词:电梯控制系统,VHDL,QUARTUS IIABSTRACTThe lift, as the modernized result. Along with the social progress and development, the use of the elevator is becoming more and more common, elevator function more and more perfect, elevator

3、 manufacturing technology level is also gradually synchronized with the world.Thus, a much larger elevator in the domestic market has been rising. This subject will use VHDL language, QUARTUS II software to realize a common elevator control system, follow the direction of priority principle, this sy

4、stem has the basic function of elevator in common use,it can advance/delay switch elevator door, the control of elevator running switch, instructions, elevator running condition, running time and place building floors, and so on.This design main goal is to realize the running control of Nine elevato

5、r,my source program is designed with Quartus II which is based on written by EDA software language. The detail scheme is realized by simulating display module, whole modules and engineering module, then I can get the simulation waveform drawing, finally the simulation is used to control the fluctuat

6、ions of elevators running. The system is approved to be safe、convenient and simple after a great deal of fluctuations of simulation and compareing with the actual data of industrial productions system , therefore I believe my design has certain practical value. Keywords: Elevator Control System ,VHD

7、L, QUARTUS II目 录第一章 前言51.1问题的提出51.2设计目的5第二章 概述62.1EDA技术及其发展62.1.1EDA技术的概念62.1.2EDA技术的发展阶段62.2EDA技术应用对象72.3EDA技术的内容72.4EDA技术的优势72.5EDA对应用电子专业毕业设计的意义72.6EDA设计流程82.7VHDL语言8第三章 设计方案103.1系统设计要求103.2系统设计方案103.2.1电梯控制状态103.2.2输入输出信号103.3主要模块设计113.3.1分频和楼选信号113.3.2寄存器置位与复位123.3.3电梯运行次态控制123.3.4楼层计数及关门控制12第四

8、章 软件设计144.1仿真软件介绍144.1.1Quartus II的介绍144.1.2文本输入设计144.2仿真结果154.2.1显示模块编译 (.vhd)154.2.2主体模块编译(.vhd)164.2.3RTL电路图(.bdf)174.2.4仿真结果(.vwf)19结束语20参考文献21附录程序22致谢30第一章 前言1.1问题的提出当今世界,部分地区人口高度集中,兴建高层建筑不由得成为一种必然。因此,能够使人们快速、便捷地达到目的楼层的电梯应运而生。中国的电梯市场增长很乐观,平均年增长率为15%-18%。随着电梯普及率的逐步提高,人们对电梯的要求也越来越高,如何更安全、更快捷地到达目的

9、楼层,就成了人们对电梯的最基本的要求,而在电梯系统里,基本运行是由电梯控制系统控制的。因此,控制系统的设计成了在电梯设计领域最为核心的技术。在电子技术迅速发展的今天,电子产品也逐渐地渗入到我们的生活之中,人们对电子产品的需求,也在一定程度上推动了电子设计技术的发展,加速了电子设计技术的普及进程及技术革新。科学技术就是第一生产力,处于科技前沿的电子设计技术很自然地与电梯控制设计融合起来,因此,本设计是以开发更安全、更快捷地九层电梯控制系统为前提,结合电子设计技术,对电梯进行控制。1.2设计目的九层电梯广泛应用于各个领域,其方便快捷、运行时间短,效率高,成本低,无疑对人们的生活起了重大的影响。楼层

10、的高度也在一定程度上满足了人们对电梯的需求,对绝大多数居民以及货运部门提供了方便。在此设计的基础上,也可以设计出更高楼层的电梯,会越来越多的人们提供便捷地出入设备,体现出一定的社会价值。第二章 概述2.1EDA技术及其发展2.1.1EDA技术的概念电子设计自动化(Electronic Design Automation,EDA)是一种基于芯片的现代电子系统设计方法。以计算机科学和微电子技术发展为先导,汇集了计算机应用科学、微电子结构工艺学和电子系统科学的最新成果。它还汇集了计算机图形学、拓扑学、逻辑学、微电子工艺与结构学和计算数学等多种计算机应用学科最新成果的先进技术。EDA技术以计算机为工具

11、,代替人完成数字系统的逻辑综合、布局布线和设计仿真等工作。设计人员只需要完成对系统功能的描述,就可以由计算机软件进行处理,得到设计结果,而且修改设计如同修改软件一样方便,可以极大地提高设计效率。2.1.2EDA技术的发展阶段随着电子系统设计、计算机技术和集成电路的发展,EDA技术的发展过程可为四个阶段:第一阶段:计算机辅助设计(Computer Assist Design,CAD)阶段。其特点是一些单独的工具软件,主要有PCB布线设计、电路模拟、逻辑模拟、以及版图的绘制等,通过计算机的使用,从而将设计人员从大量繁重重复的计算和绘图工作中解脱出来。20世纪80年代,随着集成电路规模的增大,EDA

12、技术有了较快的发展。许多软件公司等进入市场,开始供应带电路图编辑工具和逻辑模拟工具的EDA软件。这个时期的软件产品主要针对产品开发,按照设计、分析、生产和测试等多个阶段,不同阶段分别使用不同的软件包,每个软件只能完成其中一项工作,通过顺序循环使用这些软件,可完成设计的全过程。第二阶段:计算机辅助工程技术(Computer Assist Engineering Design,CAE)阶段。从70 年代开始,实现计算机电路功能设计和结构设计,有电气连接网络表将两者结合在一起,以实现工程设计。主要用于电气原理图的输入、逻辑仿真、电路分析、布局布线和PCB设计。代表性仿真软件如SPICE(Simula

13、tion Program for Integrated Circuit Emphhasis)。第三阶段:初级EDA阶段。从80年代初至90年代初,EDA技术延伸到半导体芯片的设计,运用EDA技术设计并生产出许多可编程半导体芯片,同时出现了一批适用于微机的电路仿真和设计软件,如PSPICE、EWB(Electronic Workbench)等。第四阶段:电子设计自动化(EDA)阶段。90年代至今,微电子技术以惊人的速度发展着,其工艺已经发展到深亚微米级的级别,在一个芯片上可集成几百万只乃至上千万只晶体管,大大的促进了EDA技术的发展,产生了许多大规模的EDA工具软件系统。这个阶段才真正称得上是E

14、DA时期。2.2EDA技术应用对象一般来说,利用EDA技术进行电子系统设计,最后实现的目标有下列两种:.全日制或半日制ASIC.FPGA/CPLD(或称可编程ASIC)开发应用专用集成电路就是具有专门用途和特定功能的独立的集成电路器件。作为EDA技术最终实现目标的ASIC,可以通过三种途径完成:1. 大规模可编程逻辑控制器件2. 半定制或全定制ASIC3. 混合ASIC2.3EDA技术的内容EDA技术以大规模可编程逻辑器件的开发软件和实验开发系统为设计工具,用软件的方式自动完成电子系统设计。包括系统结构模拟,电路特性分析,绘制电路图,制作PCB等全过程。目前的EDA技术主要包括大规模可编程逻辑

15、器件、数字信号处理(DSP)、嵌入式系统。大规模可编程逻辑器件开发时具有EDA技术特色的技术、它包括以下4个要素。1.大规模可编程逻辑器件,如PLD、CPLD、FPGA、ISP器件。2.硬件描述语言,如VHDL、Verilong HDL、ABEL、语言。3.软件开发工具,如ispEXPERT、MAX+PLUS、Quartus等。4.实验开发系统,如GW48SOPC FPGACPLD.2.4EDA技术的优势EDA技术的优势如下:用软件设计的方法来设计硬件。设计目标是硬件,但是设计和修改是以软件方式进行。自动设计。设计者只需致力于原理和逻辑设计,不必考虑硬件系统的实际实现,减轻了工作量,提高了开发

16、效率。设计过程中可进行各种仿真测试。有利于检查设计缺陷,缩短设计周期,减小开发成本。系统可以现场编程,方便修改和在线升级。可实现一个芯片上系统的集成,使系统体积小、功耗低、可靠性高。2.5EDA对应用电子专业毕业设计的意义随着电子技术的飞速发展,特别是集成电路的出现,使电子电路逐步告别分立元件时代,向小型化、集成化方向发展、而计算机技术的发展为大规模集成电路的开发提供了实现手段。应用电子专业学生观的电路设计能力的标志不能再定位于面包板、电烙铁和腐蚀电路板,而应该定位于EDA水平的高低。将EDA技术引入教学符合应用电子技术发展的潮流,是专业教学改革的需要。通过开设专业基础课对技术的教学,要求学生

17、能完成以分析为主,设计为辅的设计,通过课程设计要使学生完全掌握设计方法,通过毕业设计,要求学生全面的掌握典型的EDA工具,达到能够设计到“流板”(PCB板)或“流片”的水平。2.6EDA设计流程 EDA设计层次分为系统级、电路级、物理级等设计。物理级设计主要是指版图设计,一般由半导体厂家完成,设计者主要完成电路级设计和系统级设计。1系统设计先按照自顶向下的设计方法进行系统划分,确定设计方案。2电路设计选择能实现该方案的合适元器件,然后根据具体的元器件设计电路原理图。3输入源程序采用原理图、状态图或者VHDL代码输入方式输入源程序。将以上的设计输入编译成标准准是VHDL文件。4进行行为仿真将源程

18、序直接送到仿真器智能中,根据VHDL语义来检验程序的正确性。5逻辑综合优化利用综合器对VHDL源代码进行综合优化处理,生成门级描述的网表文件。综合优化要在相应的厂家综合库支持下才能完成。这是将高层描述转化为硬件电路的关键步骤。6进行功能仿真综合后利用产生的VHDL网表文件送到仿真器中进行功能仿真。检验设计功能是否实现。此次仿真不涉及具体硬件特性。7器件布线和适配利用适配器网表文件针对某一具体的目标器件进行逻辑映射操作,包括底层期间分为装配、逻辑分割、逻辑优化和布局布线。适配完成后,产生的多项设计结果如下:适配报告,包括芯片内部资源利用情况,设计的布尔方程描述情况等。适配后的仿真模型。器件编译文

19、件。8时序仿真根据适配后的仿真模型,可以进行适配后的时序仿真,时序仿真模型已经反应器件的实际硬件特性,其仿真结果能精确的预期未来芯片的实际性能。若果仿真结果达不到设计要求,就需要修改VHDL源代码或选择不同速度品质的器件,直至满足设计要求。9器件编程下载10硬件仿真测试对芯片进行测试,确保已经完成设计任务。2.7VHDL语言硬件描述语言HDL是EDA技术的重要组成部分,常见的HDL主要有VHDL、Verilog HDL、ABEL、AHDL、SystemVerilog和SystemC等。其中VHDL、VerilogHDL在现在EDA设计中使用最多。VHDL的全名 VHSIC(Very High

20、Speed Integrated Cricuit) Hardware Description Language.VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大地简化了硬件设计任务,提高了设计效率和可靠性。VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化结构化设计方面,表现了强大的生命力应用能力。因此,VHDL在支持各种模式的设计方法、自顶向下与自底向上或混合方法方面,在面对当今许多电子产品生命周期的缩短,需要多次重新设计以融入最新技术、改变工艺等方面都表现出良好的适应性。用VHDL

21、进行电子系统设计的一个很大的优点是设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精力。第三章 设计方案3.1系统设计要求设计一个可以控制电梯完成9个楼层的载客服务,并实现以下功能:1每层电梯入口处设有电梯上下运行请求开关,轿厢内设有楼层选择开关,供乘客自由选择电梯运行方向或所要达到的层数。2电梯的运行遵循方向优先原则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个运行,直到最后一个上楼请求执行完毕,如更高楼层有下楼请求则直接上升到有下楼请求的最高层,然后进入下降模式;当电梯处于下降模式时,运行规则与上升模式刚好相反。(1)电梯

22、具有提前关门和延时关门功能,可以根据乘客的请求进行时间调整。(2)设置电梯运行开关控制键,控制电梯运行状态。(3)能够正确显示电梯的运行状况、楼层间的运行时间以及楼梯所在楼层的等待时间。3.2系统设计方案3.2.1电梯控制状态运行状态:上升和下降两个不同的运行方向停止状态等待状态电梯要完成开门、关门、停止、上升或下降运行的功能,乘客可以通过选择开门、关门、停止、上升或下降运行的功能,乘客可以通过选择开门/关门按钮、选择上行/下行按钮和选择指定楼层等控制电梯的运行状态。3.2.2输入输出信号1.输入信号clk:基准输入时钟信号,在时钟上升沿有效 upin:楼层上升请求信号,高电平有效 downi

23、n:楼层下降请求信号,高电平有效 st_th:楼层选择信号,高电平有效 close:提前关门开关,高电平有效 delay:延时关门开关,高电平有效 run_stop:电梯运行开关按钮,高电平正常运行,低电平停止运行2输出信号 lamp:电梯运行停止 run_waitdis6.0:电梯运行/等待时间显示 st_outdis6.0:电梯所在楼层指示 directdis6.0:电梯楼层选择指示3.3主要模块设计电梯控制器主要由时序输出及楼选计数器、电梯服务请求处理器、电梯升降控制器、电梯升降寄存器和次态生成电路组成,其内部结构如图3-1所示:时序输出及楼选计数器电梯升降控制器次态生成器上升请求下降请

24、求电梯服务请求处理器clkindelaycloselamprun_waitst_outdircetupindowninst_chrun_stop图3-1内部结构3.3.1分频和楼选信号分频和楼选信号产生进程将输入的时钟信号进行二分频,同时对楼选指示变量dir进行从0到8的计数。-分频进程p0:process(clk)begin if(clkevent and clk=1)then clkin=not clkin; end if;end process p0;-分频及楼选信号产生进程p1:process(clkin)begin if(clkevent and clkin=1)then divid

25、e=not divide; if(dir=8)then dir=0; else dirnum时按下了楼层选择确认键st_ch,或者按下上升按钮upin,则对应的上升请求寄存器ur(t)赋值为1,否则,若电梯运行时间到,且没有任何请求,则对应的上升请求寄存器ur(t)赋值为0;反之,如果电梯处于运行中,楼层选择指示为t且tledoutledoutledoutledoutledoutledoutledoutledoutledoutledoutledoutledoutledoutledoutledoutledoutledoutledoutledoutledoutledoutledout=000000

26、0;-其他情况灯灭 end case;end process;end rtl;九层电梯控制器主体的VHDL:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity lift isport( clk:in std_logic;-时钟信号 upin:in std_logic;-上升请求键 downin:in std_logic;-下降请求键 st_ch:in std_logic;-楼层请求键 close:in std_logic;-提前关

27、门键 delay:in std_logic;-延时关门键 run_stop:in std_logic;-电梯运行开关 lamp:out std_logic;-运行或停止灯 run_wait:out std_logic_vector(3 downto 0);-运行或等待时间 st_out:out std_logic_vector(3 downto 0);-电梯所在楼层指示 direct:out std_logic_vector(3 downto 0);-楼层选择指示 end lift;architecture rtl of lift issignal ur,dr:std_logic_vector

28、(9 downto 1);signal dir,liftor:integer range 0 to 8;signal wai_t:std_logic_vector(2 downto 0);signal divide,hand,clkin:std_logic;signal ladd:std_logic_vector(1 downto 0);signal closex,delayx:std_logic;begin direct=conv_std_logic_vector(dir,4)+1; st_out=conv_std_logic_vector(liftor,4)+1; run_wait=0&

29、wai_t; lamp=ladd(1); hand=wai_t(2)and (not wai_t(1) and wai_t(0); closex=close and (not ladd(1); delayx=delay and (not ladd(1);-分频进程p0:process(clk)begin if(clkevent and clk=1) then clkin=not clkin; end if;end process p0;-分频及楼选信号产生进程p1:process(clkin)begin if(clkinevent and clkin=1) then divide=not divide; if(dir=8)then dir=0; else dirnum) and (st_ch=1) or (upin=1)then case t is when 1=ur(1)=1; whe

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号