毕业设计(论文)基于FPGA的NCO设计与仿真.doc

上传人:仙人指路1688 文档编号:3979163 上传时间:2023-03-30 格式:DOC 页数:18 大小:299.50KB
返回 下载 相关 举报
毕业设计(论文)基于FPGA的NCO设计与仿真.doc_第1页
第1页 / 共18页
毕业设计(论文)基于FPGA的NCO设计与仿真.doc_第2页
第2页 / 共18页
毕业设计(论文)基于FPGA的NCO设计与仿真.doc_第3页
第3页 / 共18页
毕业设计(论文)基于FPGA的NCO设计与仿真.doc_第4页
第4页 / 共18页
毕业设计(论文)基于FPGA的NCO设计与仿真.doc_第5页
第5页 / 共18页
点击查看更多>>
资源描述

《毕业设计(论文)基于FPGA的NCO设计与仿真.doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)基于FPGA的NCO设计与仿真.doc(18页珍藏版)》请在三一办公上搜索。

1、本科学生毕业论文论文题目:基于FPGA的NCO设计与仿真学 院:电子工程学院年 级:2012级专 业:电子信息工程姓 名:学 号:指导教师: 2015 年 06 月 23 日摘要数控振荡器是数字通讯中调制解调单元必不可少的部分,同时也是各种数字频率合成器和数字信号发生器的核心。随着数字通信技术的发展,对传送数据的精度和速率要求越来越高。如何得到可数控的高精度的高频载波信号是实现高速数字通信系统必须解决的问题,可编程逻辑器件和大容量存储器的发展为这一问题的解决带来了曙光。本文从NCO概念入手,简要介绍NCO的概念与应用,进而介绍NCO系统结构及工作原理、NCO各组成部分设计、系统仿真等几个方面,

2、将基于FPGA的NCO设计与仿真过程展现在读者面前,简洁明了,并且在研究的过程中取得了一定的成果。关键词NCO;数控振荡器;FPGA;Abstract NCO is a crucial part of the modem as a unit of digital communications, and various digital frequency synthesizer and the core of digital signal generator. With the development of digital communication technology, the transm

3、itting data accuracy and rate of demand is higher and higher. How to get to the high frequency carrier signal is of high accuracy of the numerical control implementation must solve the problem of high speed digital communication system, programmable logic devices and the development of large capacit

4、y storage brought the dawn for the solution of the problem. This article obtains from the concept of NCO, briefly introduces the concept and application of NCO, then introduce the NCO system structure and working principle, NCO each component design, system simulation and so on several aspects, the

5、NCO based on FPGA design and simulation process show in front of the reader, simple, and has obtained certain achievements in the process of research.Key wordsNCO; Numerical Controlled Oscillator; FPGA目录摘要IAbstractII第一章 绪论1第二章 NCO概述22.1 NCO概念22.2 基于NCO的应用22.2.1 DDS的实现22.2.2 FSK的实现32.2.3 扫频电路的实现3第三章

6、NCO实现原理及设计方法43.1 NCO实现原理43.2 NCO几种常见设计方法5第四章 NCO的结构及仿真54.1 NCO的结构54.1.1 NCO的顶层电路结构54.1.2结构设计64.2 NCO的及仿真10结论12参考文献13致谢14第一章 绪论数控振荡器是数字通讯中调制解调单元必不可少的部分,同时也是各种数字频率合成器和数字信号发生器的核心。随着数字通信技术的发展,对传送数据的精度和速率要求越来越高。如何得到可数控的高精度的高频载波信号是实现高速数字通信系统必须解决的问题,可编程逻辑器件和大容量存储器的发展为这一问题的解决带来了曙光。在研究数控振荡器NCO工作原理的基础上,通过分析对比

7、几种不同的NCO设计方法,采用了算法简单、节省资源的基于ROM查找表的设计方法。针对正交数控振荡器NCO的主要部件正余弦存储表、可变模计数器进行了算法设计和电路设计,并在Altera公司的FPGA上进行了验证,波形仿真结果表明了电路设计的正确性。采用查找表的方法可以有效提高系统功能的可扩展性和系统的可集成性,使得NCO功能模块可以通过配置存储表、频率控制字来满足多种应用场合下的NCO设计需要,可以广泛地应用于各种现代通信系统中。随着数字通信技术的飞速发展,软件无线电的应用愈加的广泛,而影响软件无线电性能的关键器件数控振荡器NCO(Numerical CONtrolled Oscillator)

8、的设计至关重要;NCO的设计采用直接数字频率合成(DDS)技术;1971年3月美国学者J.Tierncy、C.M.Rader和B.Gold首次提出了直接数字频率合成(DDS)技术。这是一种从相位概念出发直接合成所需要的波形的新的全数字频率合成技术。同传统的频率合成技术相比,DDS技术具有频率精度高、转换时间短、频谱纯度高以及频率相位易编程、输出的频率稳定度与系统的时钟稳定度相同等一系列优点,广泛应用于现代各种通信系统中,包括数字上下变频、中频变换、频率合成以及扩频系统和各种频率相位数字调制解调系统中。在软件无线电及通信领域,经常使用正交的数字信号;针对此类应用,本文给出了一种基于FPGA的正交

9、NCO设计方法,可以实现正交的、连续相位、高性能、高精度、可重利用的数控振荡器,适合于多种应用场景的片上系统的设计。第二章 NCO概述2.1 NCO概念NCO(Numerical Controlled Oscillator)即数控振荡器用于产生可控的正弦波或余弦波,其实现的方法目前主要有计算法和查表法等。计算法以软件编程的方式通过实时计算产生正弦波样本,该方法耗时多且只能产生频率相对较低的正弦波,而需要产生高速的正交信号时,用此方法无法实现。因此,在实际应用中一般采用最有效、最简单的查表法,即事先根据各个NCO正弦波相位计算好相位的正弦值,并以相位角度作为地址把该相位的正弦值数据存储在表中,然

10、后通过相位累加产生地址信息读取当前时刻的相位值在表中对应的正弦值,从而产生所需频率的正弦波。 用查表法实现NCO的性能指标取决于查表的深度和宽度,即取决于表示相位数据的位数(查表存储器地址线的位数)和表示正弦值数据的位数(查表存储器数据线的位数)。改善NCO性能最简单和最根本的方法是加大查找表的深度和宽度。目前,用查找表法实现NCO的普遍做法是用片内ROM作为查找表,由于片内资源的限制,查找表的深度和宽度一般不会很大(通常为2568bits),大大限制了NCO性能的提高,用独立的大容量SRAM作为查找表,把查找表从片内移到片外,可以较好解决这个问题.NCO采用全数字技术,具有分辨率高、频率转换

11、时间快、相位噪声低等特点。将其应用于通信系统和其他电子设备中,可以大大简化系统,2.2 基于NCO的应用2.2.1 DDS的实现数字频率合成器(DDS-DirectDigitalSynthe2sis)是近年来发展非常迅速的一种器件。它由NCO、数/模转换器(DAC)和低通滤波器组成,拥有NCO的诸多优点,广泛应用于通信系统。DDS的工作过程如下:在Fclk的推动下,NCO输出频率的量化振幅值,通过D/A变换,得到连续的量化振幅值,再经过低通滤波器滤波后,就得到所需频率的模拟信号。2.2.2 FSK的实现 从影响NCO的几个参数我们可以看出,利用其变化的特点,在NCO中可以实现各种数字调制。频率

12、调制可以用改变频率控制字来实现,相位调制可以通过改变瞬时相位字来实现,振幅调制可用ROM和DAC之间加数字乘法器来实现。这里我们利用NCO频率控制字可变的特点来实现2FSK调制。从NCO结构框图可看出,频率控制字M可以是一常数,也可以是一变量。M为常量时,输出一个固定的频率。当M在信息比特的控制下跳变时,我们就可以利用它来完成2FSK调制。这里,我们取N=15,Fclk=100MHz,每样点用16bit来表示。控制字M的产生如下:当信息比特为1时,M1=4094,则f1=10034094/32768=1215MHz;当信息比特为0时,M2=2047,则f2=10032047/32768=612

13、5MHz; 波形分析:当bit为0时,频率控制字control输出2047,相应的输出的正余弦信号为6125MHz的信号(这一点可以从输出的样点数看出来,每周期输出16个样点,8个正数,8个负数,有规律变化);当bit为1时,频率控制字control输出为4094,相应的正余弦信号为1215MHz(每周期输出8个样点,4个正数,4个负数,有规律的变化)2.2.3 扫频电路的实现 根据频率控制字可变,我们在DDS前端加上控制电路,就可以实现扫频,我们取N=15,Fclk=312768MHz,每样点用16bit来表示。输入intiate-seq=257,则初始频率f0=2573312768/327

14、68=010257MHz=2517kHz。频率步进128个时钟周期递增1,也即128个钟周期步进3127683106/32768=100Hz ,终频率fe=3863312768/32768=010386MHz=3816kHz。从所有信号点中取0500的样点与1550016000的样点进行比较。可以得出,在相同的时间范围内,出现的正弦波的个数增加,也即频率变大了。这样我们就实现了扫频功能。第三章 NCO实现原理及设计方法3.1 NCO实现原理直接数字频率合成(DDS)技术是一种全数字技术,同传统的频率合成技术相比,DDS技术具有多项优点:频率切换时间短、频率分辨率高、相位变化连续、容易实现对输出

15、信号的多种调制等。DDS的原理框图如图1所示,其实质是以基准频率源(系统时钟)对相位进行等间隔的采样。由图1可见,DDS由相位累加器和波形存储器(ROM查询表)构成的数控振荡器(NCO)、数模转换器(DAC)以及低通滤波器(LPF)3部分组成。而DDS的核心是NCO的设计与实现,NCO一般是由相位累加器和正余弦查找表两部分组成,其中相位累加器的设计较简单,故设计NCO的关键是设计正、余弦函数发生器。实现函数发生器的方法为查表法(LUT),对于一个相位位数为L,输出信号幅度位数为M的NCO,所需查找表的大小为M2L。图1 DDS基本原理框图在每一个时钟周期,L位相位累加器与其反馈值进行累加,其结

16、果的高N位作为ROM查询表的地址,然后从ROM中读出相应的幅度值送到DAC。低通滤波器LPF用于滤除DAC输出中的高次谐波。因此通过改变频率控制字K就可以改变输出频率fout。容易得到输出频率fout与频率控制字K 的关系为:fout=Kfc/2L,其中fc为相位累加器的时钟频率,L为相位累加器的位数。定义当K=1为系统频率分辨率,即f=fc/2L。3.2 NCO几种常见设计方法常见的NCO实现的方法目前主要有计算法、CORDIC(Coordinated RotATIon DigitalComputer)算法和查表法等。计算法是一种以软件编程的方式通过实时计算产生正弦波样本,该方法耗时多且只能

17、产生频率相对较低的正弦波,而需要产生高速的正交信号时,用此方法不能很好的满足要求。CORDIC算法即坐标旋转数字计算方法,其基本思想是用一系列固定角度的不断偏摆逼近所需旋转的角度,实现包括乘除、平方根、三角函数、向量旋转(即复数乘法)以及指数运算,该算法往往需要通过乘法器和查找表才能实现多种超越函数的计算,这会导致硬件电路实现复杂、运算速度降低,此外它能够计算的角度范围也有限,故CORDIC算法在实际使用时有较大的限制条件。在实际应用中一般采用最有效、最简单的查表法,即事先根据各个NCO正弦波相位计算好相位的正弦值,并以相位角度作为地址把该相位的正弦值数据存储在表中,然后通过相位累加产生地址信

18、息读取当前时刻的相位值在表中对应的正弦值,从而产生所需频率的正弦波;同时由于正余弦波形的对称性,只需要存储部分数据即可完成全相位的数值输出。这种实现方法,设计简单、运算速度较高,可以很好的满足在数字变频、扩频、调制解调等多种场合的要求。第四章 NCO的结构及仿真4.1 NCO的结构4.1.1 NCO的顶层电路结构CORDIC迭代算法的一种最直接的实现方法是,只设计一级CORDIC运算迭代单元,然后在系统时钟的驱动下,将本级的输出作为本级的输入,通过同一级迭代完成运算。这种方法虽然很直观,但是为了将计算结果提供给下一级运算而导致占用了大量的寄存器,带来许多额外的资源消耗。而最大的缺点是运算速度较

19、慢(需要n-1个时钟周期才能输出一个数据),不利于数据的高速实时处理。因此在实际设计中,采用的是由16级CORDIC运算单元组成的流水线结构,正常工作时只需1个时钟周期就能输出1个数据,为数据实现高速实时处理提供了前提。每一级实现的功能是根据式(5)进行一次迭代,移位的位数等于当前的迭代级数,加减法选择由该级中Z 的最高位(符号位)决定,得到下一级的X 、Y 和Z 的值。经过16级流水线运算后,Z的值变为0,X 和Y 的值则为初始值z0的余弦和正弦值。每一级电路结构主要包括2个移位器和3个加(减)法器,级与级之间直接相连,不需要额外的寄存器。i 的值为arctan(2-i),可将该小数转换为二

20、进制数后,存储于存储单元中,为每一级流水线提供查找表。若对于16级的流水线结构,则的范围是015。CORDIC迭代算法的流水线结构设计中还应该注意迭代序列所能覆盖的角度范围,若直接采用n 级迭代序列:0 ,1 ,2 ,n - 1 ,则迭代所能覆盖的角度范围仅有- 99.999.9。本设计采用了增加迭代次数的方法来扩大角度覆盖范围,即增加两个i = 0 的迭代,将迭代序列扩展为0,0,0,1,2,n-1,从而使角度覆盖范围也扩大到-。4.1.2结构设计用FPGA和SRAM实现的NCO的结构如图2所示。大框内部分是由FPGA完成,主要部件分为频率控制字寄存器,相位控制字寄存器、通道控制字寄存器、累

21、加器、加法器、通道选择器和锁存器等。微处理器对NCO进行控制,可用单片机或DSP(数字信号处理器)实现。图2 NCO的结构框图(1) 频率控制字寄存器 频率控制字寄存器和相位控制字寄存器都是32位并行输入/并行输出寄存器,它们通过微处理器接口进行读写。频率控制字寄存器确定载波的频率,相位控制字寄存器确定载波的初始相位。32位累加器对代表频率的频率控制字进行累加运算,累加结果与代表初始相位的相位控制字通过32位加法器进行相加运算,相加结果的高16位数据读取查找表的地址信息。频率控制字寄存器、相位控制字寄存器、累加器和加法器可以用VHDL语言描述,集成在一个模块中,其VHDL源程序如下:entit

22、y addr_sin isPort(clock:in STD_LOGIC;时钟信号 reset:in STD_LOGIC;同步复位信号 定义频率控制字寄存器 g_sin:in STD_LOGIC; data_con_sin:in STD_LOGIC_VECTOR(31 downto 0); d_con_sin:out STD_LOGIC_VECTOR(31 downto 0); 定义相位控制字寄存器 g_sin1:in STD_LOGIC; data_con_sin1:in STD_LOGIC_VECTOR(31 downto 0); d_con_sin1:out STD_LOGIC_VECT

23、OR(31 downto 0); dout:out STD_LOGIC_VECTOR(15 downto 0)加法器输出信号 ); end addr_sin; architecture Behavioral of addr_sin is signal a_sin,a_sin1,count,count1:STD_LOGIC_VECTOR(31downto0): “00000000000000000000000000000000”;定义中间变量并初始化 begin d_con_sina_sin;用于微处理器读频率控制字寄存器 d_con_sina_sin1;用于微处理器读相位控制字寄存器 dout

24、count1(31 downto 16);加法器输出 process(g_sin,data_con_sin)写频率控制字寄存器begin if(g_sin“1”)thena_sindata_con_sin;end if;end process; process(g_sin1,data_con_sin1)写相位控制字寄存器 begin if(g_sin11)then a_sin1data_con_sin1; end if; end process;process(clock,reset)加法器输出逻辑 begin if reset1then count“000000000000000000000

25、00000000000”;累加器清零 count1“00000000000000000000000000000000”;加法器清零elsif(clock1and clock event)then countcounta_sin;累加器输出 count1counta_sin1;加法器输出 end if ; end process;end Behavioral; (2) 通道控制字寄存器和通道选择器 通道控制字寄存器和频率控制字寄存器与相位控制字寄存器的结构完全一样,都是32位并行输入/并行输出寄存器,通过微处理器接口进行读写。通道控制字寄存器仅用最后一位对通道选择器进行控制。通道选择器是二选一复

26、用器,当Sel控制端为0时选择通道1,当Sel控制端为1时选择通道2,通道选择器作为SRAM与FPGA的接口,每个通道不仅包括16条地址线,而且还有3条控制线和32条数据线,在图1中,为了使NCO的结构更加清晰,通道选择器的控制线和数据线没有表示出来。 (3)SRAM和锁存器 SRAM是64K32的高性能静态RAM,由2个CY7C1021(64K16)并联构成,用作查找表。SRAM通过微处理器进行配置,直接存放2路、1个周期、65 536个16位载波样本(高16位存放正弦波,低16位存放余弦波)。虽然SRAM是异步器件,但由于工作速度极高,在简单控制逻辑配合下完全可以工作在同步模式下。NCO工

27、作时,控制逻辑(用VHDL语言描述)通过通道1使SRAM的控制信号线处于读有效电平,用相位地址直接驱动SRAM,从SRAM读出的数据进入32位锁存器,分2路直接输出,不需要任何地址和数据转换逻辑。用于锁存器的时钟和用于累加器、加法器时钟在相位上相差180,这是由SRAM的开关特性决定的。 4.2 NCO的及仿真本次设计采用性价比较高的ALTERA公司的STRATIX芯片进行设计仿真,该系列芯片是基于1.5V工作电压、0.13m全铜布线SRAM工艺的FPGA芯片。此系列芯片拥有最多114140个逻辑单元(Les)和最多10Mbits的内部RAM。该系列芯片支持多种I/O标准,提供了最多可达12个

28、的用于处理复杂时钟信号的锁相环(PLLs)。同时,STRATIX系列芯片提供了最多可达28个的数字信号处理单元(DSP),它们由总共224个内置硬件乘法器(9位乘9位)组成,利用它们可以有效地实现例如快速傅立叶变换(FFT)、有限冲击响应(FIR)滤波器、无限冲击响应(IIR)滤波器等高性能的数字信号处理单元或者乘法器。图3 NCO模块的主要端口定义Quartus仿真输出波形,如图4所示。 图4 NCO的仿真输出波形 此仿真波形为3个频点的NCO输出波形,用matlab绘出输出的载波的波形如图5所示。图5 输出载波的波形由上面的仿真结果可以看出,NCO正确输出了3个频点的仿真波形;同时通过实际

29、的FPGA硬件测试和验证,该设计达到了所要求的性能指标。使用Altera公司的STRATIX芯片进行编译、综合,所得的资源占用结果如图6所示。图6 NCO资源占用情况结论 本文给出了一种基于FPGA的正交NCO的设计方法,在实际应用过程中可参考给出的设计实例,通过改变频率控制字、系统采样频率、存储正余弦查找表的深度和存储表的位宽,即可得到不同输入频率范围的NCO;同时如果涉及需要的精度及频率较高,也可采用外置的存储器,如FLASH,SRAM等与FPGA配合使用,较大的扩展存储表的深度和位宽,可最终达到设计的要求,有一定的使用价值。参考文献1 谭会生,张昌凡. EDA技术及应用.西安: 西安电子

30、科技大学出版社, 20012 王锁萍.电子设计自动化(EDA)教程. 成都: 电子科技大学出版社, 20013 潘松. VHDL使用教程. 西安电子科技大学出版社, 20004 候伯亨, 顾新. VHDL硬件描述语言与数字逻辑电路设计. 第二版. 西安: 西安电子科技大学出版社,19995 付家才.EDA原理及应用. 北京: 化工工业出版社, 20016 王振红. VHDL数字电路设计与应用实践教程.北京: 机械工业出版社, 20037 甘历. VHDL应用与开发实践. 北京: 科技出版社, 20038 潘松. EDA技术应用与发展管窥. 电子世界, 2004, 39 IEEE Standard VHDL Language Reference Manual . IEEE Press,198710 Texas Instruments Inc. TMS320C54x DSP Reference Set,Volume 1: CPU and Peripherals (litertature number SPRU131). 1997致谢 在论文完成之际,谨向 致以最诚挚的感谢。本文的研究工作从始至终都得到了老师的热心指导和关心。从老师的身上,我不但学到了老师在课上传授的专业知识,而且也学到了怎样自学,更学到了许多做人的道理。所谓“送人一鱼,仅供一饭之需;而授之以渔,则终生受用无穷。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号