毕业设计(论文)基于FPGA的多路信号采集器设计.doc

上传人:仙人指路1688 文档编号:3979172 上传时间:2023-03-30 格式:DOC 页数:53 大小:2.78MB
返回 下载 相关 举报
毕业设计(论文)基于FPGA的多路信号采集器设计.doc_第1页
第1页 / 共53页
毕业设计(论文)基于FPGA的多路信号采集器设计.doc_第2页
第2页 / 共53页
毕业设计(论文)基于FPGA的多路信号采集器设计.doc_第3页
第3页 / 共53页
毕业设计(论文)基于FPGA的多路信号采集器设计.doc_第4页
第4页 / 共53页
毕业设计(论文)基于FPGA的多路信号采集器设计.doc_第5页
第5页 / 共53页
点击查看更多>>
资源描述

《毕业设计(论文)基于FPGA的多路信号采集器设计.doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)基于FPGA的多路信号采集器设计.doc(53页珍藏版)》请在三一办公上搜索。

1、内蒙古科技大学本科生毕业设计说明书(毕业论文)题 目:基于FPGA的多路信号采集器设计学生姓名:学 号:0605112306专 业:测控技术与仪器班 级:测控2006-3班指导教师:基于FPGA的多路信号采器设计摘 要信号采集器是信号和和控制器之间枢纽,采集信号质量的高低,速度的快慢将严重影响到控制质量。然而,自然中的信号各种各样,环境复杂,并且控制器对信号的要求亦各不相同,这些都使得信号采集一直以来都是技术难点。本文试图设计一种多路的基于FPGA的信号采集器。与传统的数据采集器以单片机或DSP作为控制器相比,FPGA具有集成度高、逻辑实现能力强、速度快、设计灵活性好等众多优点,尤其在并行信号

2、处理能力方面比DSP更具优势。在信号处理领域,经常需要对多路信号进行采集和实时处理,这亦是本文的目标。本文首先介绍了信号采集技术的最新动态,然后比较传统的器件提出系统的总体方案设计。在硬件方面,介绍了传感器、测量通道、FPGA芯片的结构原理和性能。数据处理的软件设计以Quartus为软件平台,采用VHDL作为编程语言和自顶向下的设计思想。本设计大部功能通过软件仿真得到了方案要求的结果,其中数字通道在实际电路中得到了验证。 关键词:多路信号采集;FPGA;A/D转换;VHDLThe Design of Muti-channel Signal Collector based on FPGAAbst

3、ractSignal acquisition is the hub between the signal and the controller, The quality of the signal collected and the speed will seriously affect the quality control.But, there are various signal in nature, complex environment, and demanding control of the signal varies, All makes signal acquisition

4、has been the technical difficulties.This paper attempts to design a multi、FPGA-based signal acquisition device. With traditional data acquisition system to a microcontroller or DSP as a controller, FPGA has high integration, the strong ability to achieve logic,fast and good design flexibility and so

5、 on. Especially in the parallel signal processing advantages than the DSP. In signal processing, often require multiple signal acquisition and real-time processing, this is also the goal of this articleFirst, this paper introduces the latest data acquisition technology, then make the device more tra

6、ditional design of the overall system. In terms of hardware, introduced the principle and performance of the structure of sensor, measuring channel, FPGA chip. Data processing design for the software platform Quartus,Use of VHDL as a programming language and top-down design.Most functions in the sof

7、tware simulation program requirements on the results obtained, digital channels in the actual circuit which has been verified.Key words: multi-channel signal acquisition;FPGA;A/D converter;VHDL目 录摘 要IAbstractII目 录III第1章 引言11.1 系统设计背景11.1.1 研究目的和意义11.1.2 国内外研究现状21.2 系统工作原理31.3 课题任务31.4 论文安排4第2章 多路信号采

8、集器的总体设计52.1 系统总体方案52.1.1 系统分析52.1.2 理论知识62.2 系统结构框图7第3章 硬件设计93.1 控制器93.1.1 FPGA结构原理93.1.2 Altera公司的FLEX10K103.2 传感器113.2.1 传感器的分类113.2.2 传感器的选用原则123.2.3 传感器选型143.3 测量通道183.3.1 测量通道的选择183.3.2 调理电路193.3.3多路模拟开关203.3.4 采样保持器213.3.5 A/D转换模块223.4 显示模块233.4.1 LCD1602简介243.4.2 LCD1602主要技术参数和引脚243.5 UART通信模

9、块253.6 时钟电路27第4章 软件设计284.1 编程语言VHDL284.1.1 VHDL简介284.1.2 开发软件Quartus294.2 程序设计304.2.1 系统总程序304.2.2 CD4051地址译码程序314.2.2 TLC5510转换程序314.2.3 LCD1602显示程序324.2.4 读DS18B20温度传感器344.2.5 与PC机通信程序35第5章 调试及总结385.1 系统调试385.2 总结39参考文献41附录 A 系统电路原理图43附录 B 模拟开关控制程序44附录 C 26分频程序46附录 D UART通信程序47致 谢48第1章 引言1.1 系统设计背

10、景1.1.1 研究目的和意义(1)数据采集系统是计算机测控系统中不可或缺的组成部分,是影响测控系统的精度等性能指标的关键因素之一,常用数据采集方案是以微处理器为核心控制多个通道的信号采集、预处理、存储和传输,即用软件实现数据的采集,这在一定程度上局限了数据采集的速度、效率及时序的精确控制。20世纪80年代起步的FPGA(Field Programmable Gate Array),现场可编程门阵列)技术近年来发展非常迅速,并广泛应用于通信、自动控制、仪器仪表、信息处理等诸多领域1。现在的FPGA器件具有高集成度、高时钟频率、时序控制精确、编程灵活等明显优于普通微处理器的特点,因此系统如果采用F

11、PGA为核心控制ADC和数据传输,这样可达到预期要求,并简化外围电路,降低设计风险,缩短开发周期2。FPGA的出现是超大规模集成电路(VLSI)技术和计算机辅助设计(CAD)技术飞速发展的结果。FPGA器件集成度高、体积小,具有用户可编程实现专门应用的功能。它允许电路设计者利用基于计算机的开发平台,经过设计输入、仿真、测试和验证,实现预期的结果。况且FPGA是近几年集成电路中发展最快的产品。随着可编程逻辑器件的高速发展,可编程逻辑器件将进一步扩大其领地。据IC Insights的数据显示,可编程逻辑器件市场从1999年的29亿美元增长到2004的56亿美元,几乎翻了一番。可编程逻辑器件将继续向

12、着更高密度和更大容量方向迈进1。(2)与传统的数据采集器采用的是基于单片机或者DSP作为控制器, FPGA在抗干扰和速度上有很大优势。其特点如下: 1、FPGA运行速度快。FPGA内部集成锁项环,可以把外部时钟倍频,核心频率调到到几百MHz,而单片机运行速度低的多,尤其在高速场合,单片机无法代替FPGA。2、FPGA管脚多,容易实现大规模系统。单片机I/O口有限,而FPGA动辄数百I/O,可以方便连接外设。比如一个系统有多路ADC,单片机要进行仔细的资源分配,总线隔离,而FPGA由于丰富的I/O资源,可以很容易用不同I/O连接各外设。3、FPGA内部程序并行运行,有处理更复杂功能的能力。单片机

13、程序是串行执行的,执行完一条才能执行下一条,在处理突发事件时只能调用有限的中断资源,而FPGA不同逻辑可以并行执行,可以同时处理不同的任务,这就使得FPGA工作更有效率。4、FPGA有大量软核,可以方便进行二次开发。FPGA甚至包含单片机和DSP软核,并且I/O数仅受FPGA自身I/O限制,所以,FPGA又是单片机和DSP的超集,也就是说,单片机和DSP能实现的功能,FPGA一般都能实现。综上所述,基于FPGA的研究将会是继单片机后的又一个巨大产业,自然以FPGA作为处理器也将会有广阔的前景和重要意义。所以借做多路数据采集器的系统设计的机会,选择FPGA作为控制器,并借此机会来系统地学习、学好

14、FPGA。1.1.2 国内外研究现状对于信号采集器,在外围硬件电路上已经有了非常经典的且固定方案。然而近年来,其控制芯片由原来的单片机发展到数字处理芯片DSP,嵌入式芯片等速度更高功能更强的芯片。在高性能数据采集系统中,通常采用单片机或DSP(数字信号处理器)作为控制器,控制A/D(模数转换器)、存储器和其他外围电路的工作。然而相对高速且性能能良好的FPGA,则无论是单片机或是DSP都有一些不足。首先,单片机的时钟频率偏低,各种功能都要靠软件的运行来实现,软件的运行时间在整个的采样时间中占有很大的比重,因而效率偏低,难以满足高速数据采集系统的要求。而DSP的运算速度快,擅长处理密集的乘加运算,

15、但完成对外围的复杂硬件逻辑控制难度很大。以软件方式控制操作和运算的系统速度显然无法与纯硬件系统相比,因为软件是通过顺序执行指令的方式来完成控制和运算步骤的,而用HDL语言描述的系统以并行方式工作的。以对A/D进行数据采样控制为例,采样周期包括对A/D工作时序的控制和将每一次获得的数据存入RAM(或FIFO)中。工作于12MHz晶振频率的MCS-51系列单片机对A/D控制的采样频率为20KHz上下,即约每秒两万次。但若用FPGA中设计的状态机来完成同样的工作,如对于具有流水线采样工作时序的A/D来说,只需两个状态即可完成一次采样,状态间转换的时间仅为一个时钟周期,而如果FPGA的工作频率是100

16、MHz,则采样频率可达50MHz。这在算法方面同样具有巨大的优势。用FPGA实现32阶8位FIR滤波器的处理速度为105MSPS时,用DSP芯片实现的滤波器要达到相当速度,则需要指令执行速度为3360MIPS。然而目前还没有如此高速的单处理器的DSP芯片。由此可见,在一些DSP芯片不能满足数字信号处理要求的场合,可以采用FPGA来完成数字信号处理26。 目前在国际上,以FPGA芯片生产厂商为主的公司在基于FPGA设计信号处理算法的综合研究方面处于领先地位。而且由于FPGA芯片生产厂商对本厂生产芯片性能上的了解,设计的处理器可以最大限度的发挥芯片的性能。例如Xilinx公司推出了140MHz时钟

17、频率下处理速度达到1us的1024点FFT处理模块,其采用的是800万门VirtexII器件实现。Altera公2005司年推出的FFT IP核全面支持该公司的最新器件,使用此IP核计算16位1024点FFT仅需要6.63us。国内外学者在利用FPGA实现信号处理算法方面做了大量的工作,并取得了良好的效益。我国的FPGA技术起步相对较晚,但进入21世纪后,发展非常迅速。目前不少大学及研究所都使用FPGA芯片设计开发具有自主知识产权的IP核3。1.2 系统工作原理 根据国际电气和电子工程师学会IEEE(International Institute for Electrical and Elec

18、tronic Engineers)的定义:嵌入式系统是控制、监视或者辅助设备、机器和车间运行的装置4。本设计系统属于一个过程控制的嵌入式系统,核心部件即微控制器采用FPGA,主要部件是测量通道和与PC机通信接口部件,基础部件有时钟电路和电源供给部分,还有就是显示可用方案 CRT、LCD、LED。工作原理:系统在软件的支持下通过FPGA的I/O口产生译码地址来控制多路模拟开关按一定的时间周期选通电路出入信号,并用周期更长的时钟来控制采样保持器和A/D转换器,以实现循环读入数据并且实时显示数据(该系统借助LCD),最后通过通用异步收发器UART(Universal Asynchronous Rec

19、eiver Transmitter)往PC机实时存入数据,且要求历史数据可被查询。1.3 课题任务该数据采集器设计要求的基本性能如下:1)至少能采集三种以上不同传感器输出的信号,即温度传感器、压力传感器、液位传感器;2)调理传感器输出信号,使其幅值达到集成芯片能接受的值即15V或420mA;3)实现三通道同步循环采样,采样频率50HZ500HZ,采样精度8位;4)用LCD1602实时显示三路信号;5)利用UART实时存储采集数据。根据上述性能指标,整个系统的关键是控制芯片的设计。本设计所用的FPGA采用外部时钟源来提供系统工作时钟,晶振的时钟频率为4MHz,在软件编程的基础上,对外部时钟进行分

20、频产生不同的时钟信号,来分别控制各外部器件协调工作。那么采样保持选用的通用型器件LF398,其响应速度快精度高,A/D转换器则采用TLC5510高速转换器为8位输出,而数字信号则直接读入FPGA经处理输出到LCD1602上显示,并保存到上位机上。课题的主要工作为系统的软件设计和硬件设计两部分:软件设计主要完成模拟信号测量转换电路的时序控制和FPGA内数据预处理模块、数据采集模块、LCD显示模块、通信控制器模块的设计及仿真;硬件部分首先完成模拟信号采集电路和数字电路Protel原理图绘制,然后根据原理图选择合适器件并搭建电路,最后连接传感器和液晶显示器下载程序进行调试。1.4 论文安排本论文采用

21、三级目录格式撰写,共分为五章。具体内容安排:第1章引言,阐述了选题背景和意义,分析了国内外相关技术的发展动态及研究现状,并对本论文的主要工作进行了介绍。第2章,通过对信号采集和处理中涉及的信号、测量控制通道、通信接口等各部分综合分析,确定本系统的总体方案,并给出系统总体结构框图,为后续设计做准备。第3章,先简单介绍了FPGA的结构原理、设计技术,并对其选型和性能进行分析。然后详细介绍了多通道采样模块中信号调理电路、A/D转换电路、输入输出接口、显示模块,并详细介绍了所用到的主要器件性能。第4章,先对对系统软件开发平台和编程语言进行了介绍,后面详细介绍了程序设计思想和设计流程,且配备了仿真结果。

22、第5章,主要讲系统调试,记录了程序下载和调试的过程及结果,并作了简单分析。结论部分则是对整个论文的工作成果进行总结,也有设计本课题未完成的遗憾,并提出了进一步的展望。第2章 多路信号采集器的总体设计2.1 系统总体方案2.1.1 系统分析基于FPGA的多路信号采集器系统涉及的内容包括,信号的采集,数据的传输,数据的处理和存储,实时信息的显示。如前所述系统的工作流程为:首先要对多通道模拟信号进行模数转换,然后对其进行缓存处理和必要的转换,最后将处理后的结果传送到PC机。这需要结合各个模拟传感器输出的信号的特点和系统各项指标选择合适的调理电路、A/D转换、信号处理器和显示模块等。前端模拟部分前人已

23、做了大量的研究工作,技术比较成熟,方案比较固定,本节重点针对数字部分的方案进行讨论。1、信号处理器的选择在高性能数据采集系统中,传统方法多采用单片机或DSP(数字信号处理器)作为控制器,控制A/D转换、存储器和其他外围电路的工作。单片机的时钟频率较低,各种功能都要靠软件的运行来实现,软件运行时间在整个采样时间中占很大的比例,效率低,难以适应高速数据采集系统的要求。DSP虽然运算速度快,擅长处理密集的乘加运算,但很难完成外围的复杂硬件逻辑控制5。基于单片机和DSP设计的数据采集系统都有一定的不足,在高速数据采集方面,FPGA显示出两者无法比拟的优势。因为FPGA钟频率高,内部时延小,全部控制逻辑

24、由硬件完成,速度快,组成形式灵活,可以集成外围控制、译码和接口电路;最重要的是FPGA可以采用IP内核技术,通过继承、共享或购买所需的知识产权内核提高开发进度。利用EDA工具进行设计、综合和验证,加速了设计过程,降低了开发风险,缩短了开发周期,效率高,而且更能适应市场。综合考虑,本设计最终采用单片FPGA作为核心控制与处理芯片,完成信号采集和处理等功能。FPGA的I/O端口多、可在线编程、便于扩展等众多优势将在本设计中展现。2、传输总线接口的选择传统的数据采集系统的数据传输接口在低速时常采用标准串行口或并行口,高速时一般采用PCI总线接口。它们各自的特点如下:标准串行口或并行口应用开发比较简单

25、,即硬件电路和编程简单但是数据传输速率较低;PCI总线数据传输速率高,可以达到IGbps,但是硬件设计和驱动开发难度较大,PCI卡的尺寸面积限制了I/O接口的扩展,不能在笔记本电脑或便携式PC上安装,而且驱动程序安装使用不方便;目前流行的USB2.0传输协议的传输速率最高可达480Mbps,而且其接口简单、便携、可热插拔的优点然而用硬件描述语言来开发的难度太大。由于本设计要求的数据传输速度不高,考虑到编程的快捷和连接电路的简单,及其系统的稳定性和经济。本设计系统采用通用串行通信接口RS-232。2.1.2 理论知识根据系统分析有必要介绍一下关键的理论知识,即信息论基础和采样理论和采样方式6。1

26、、信息论基础。信息论是运用概率论与数理统计的方法研究信息、信息熵、通信系统、数据传输、加密学、数据压缩等问题的应用数学学科。信息与物质和能量息息相关,但又与物质和能量有本质的区别。信息是具体的,并且可以被人(动物、机器等)所感知、提取、识别,可以被传递、存储、变换、处理、显示、检索和利用。信息的传递要伴随能量的传递,信息在传递过程中的物理表现形式就是信号“0011”。信息技术是指获取、传递、处理和利用信息的技术,是一个综合性的科学技术体系,它涉及下列许多学科与技术分支:(1) 信息获取技术:主要涉及到获取自然信息的技术,包括传感器技术、测量 技术和存储技术等。数据采集技术就是信息获取技术;(2

27、) 信息传递技术:包括各种信息的发送、传输、接收、显示、记录技术,特别是人机信息交换技术;(3) 信息处理技术:包括各种信息的变换、加工、放大、滤波、提取、压缩等技术,特别是数字信号处理与人工智能技术;(4) 信息利用技术:包括各种利用信息进行控制、操纵、指挥、管理决策的技术。2、采样理论和采样方式。自然界中,需要获取的信号绝大部分是时间上和幅值上连续变化的模拟量,而机器能识别的信号是离散的数字量,于是很自然就牵涉到信号的提取和转换。 采样定理:设连续信号 x(t)的频谱为 W(f),以采样间隔 T 采样将到的离散信号为 X(nT)。如果 x(t)有截止频率 fc,即当 f=fc时,W(f)=

28、0,且满足 (2-1)时,离散信号 X(nT)完全能确定连续信号 x(t)。理论上,只要采样频率大于两倍的截止频率,采样信号都能被重构。但在实际数据采集系统中,采样的频率一般是几倍、十几倍或几十倍于截止频率。然而采样频率也不能太高,否则对 ADC 采样速度的要求也越高,同时得到的数据也越多,对计算机的处理能力也要求越高,那么很自然就会增加系统开发的难度和成本。2.2 系统结构框图本设计系统的功能是完成同时对三路不同信号的同时采集,为此针对不同的传感器设计了不同的调理电路,然而考虑到硬件资源的节省,采用同一个数据传输通道实行分时传送,即用CD4051作为模拟通道的开关,这是一种能实现对8路信号控

29、制的开关,用LF398作为采样保持器,A/D转换采用TLC5510高数采样转换器件。至于历史数据的保存,本系统则利用和PC机之间的串口采用UART通讯协议保存到上位机上,显示则通过LCD1602来实现。系统的采集信号详细信息如表2.1所示。表2.1 采集信息列表序号采样信号名称性质(开关、模拟)传感器占用硬件资源1温度数字量DS18B20占用一个I/O口2压力模拟量PJT204一个模拟测量输入口3液位模拟量DX130一个模拟测量输入口根据上述分析,确定系统方案。基于FPGA的多信号据采集器的系统硬件结构框图如下图2.1所示。图2.1 系统结构框图在图2.1中,系统的核心部件是控制器(FPGA)

30、、时钟电路;重要部件是测量通道,包括传感器及其调理电路、模拟多路开关、采样保持、A/D转换模块,LCD液晶显示和上位机通信模块;基础部件是电源供给电路。1、控制器。在本设计系统中,由于各个部件的时钟和时序不一,并且还要对采集的数据进行算术运算和逻辑判断等工作,所以要求控制芯片要有足够强的运算能力和足够多的输入输出管脚。本设计采用的Altera公司的FLEX10KEPF10K20RC208-4型FPGA芯片。该芯片具有具有上万逻辑门,一百多个用户可自定义的引脚,所以结合外部晶振提供的时钟完全能够提供精确的时序控制。2、测量通道。随着科学技术尤其是微电子技术的进步和发展,传感器也出现大量的数字型(

31、即把调理和模数转换集成到一个微小器件上)。所以,对于温度的采集可选用DS18B20直接连接到控制芯片上作为一个单独的采集通道。尽管,FPGA的引脚众多功能强大,完全可以支持多通道同步采集,为了节约硬件资源,模拟信号的传输转换通道由通用型采样保持器LF398和高效率的A/D转换器TLC5510组成。针对不同传感器对调理电路要求不一样,本系统也为选择的压力和液位传感器设计调理电路,并将在在后续的章节中介绍。3、实时显示选用LCD1602。在小规模显示控制系统中,常用的显示的器件无外乎LED数码管和LCD液晶器件。由于本系统中采集的信号不同和精度不等,如选用具有同样功耗低、体积小、抗干扰强和寿命长的

32、LED显然要求更多的硬件,电路和编程也将更加复杂。4、在与上位机的通信中该系统用的是通用异步通信(UART),对于本设计来说,实时存储的数据量不大,所以传输速度要求并不高,况且UART接线简单稳定性好,编程也易实现。第3章 硬件设计本章的主要内容是根据前面系统结构框图展开对各部分电路设计,包括对元器件和设备选型与参数计算。3.1 控制器3.1.1 FPGA结构原理现场可编程门阵列(FPGA)是八十年代中期出现的新型高密度可编程逻辑器件,它是在PAL,GAL,EPLD等可编程器件的基础上进一步发展的产物,随着工艺技术的发展与市场的需求,超大规模、高速、低功耗的新型FPGA/CPLD不断推陈出新7

33、。简化的FPGA基本由6部分组成:可编程输入/输出单元、基本可编程逻辑单元、嵌入式块RAM、丰富的布线资源、底层嵌入功能单元和内嵌专用硬核。目前大多数FPGA的I/O单元被设计为可编程式,即通过软件的灵活设置,可以匹配不同的电气标准与I/O物理特性。基本可编程逻辑单元是可编程逻辑的主体,可灵活改变其内部连接与配置,完成不同的逻辑功能。FPGA一般是基于SRAM工艺,其基本可编程逻辑单元通常由查找表(Look Up Table, LUT)和寄存器(Register )组成。目前大多数FPGA都有内嵌的块RAM ( Block RAM ),大大地拓展了应用范围和使用灵活性。可灵活的配置为单口RAM

34、 ( SinglePort RAM, SPRAM )、双口RAM (Double Port RAM,DPRAM)、伪双口RAM ( PseudoDPRAM ),CAM ( Content Addressable Memory)和FIFO ( First In First Out)等常用结构22。布线资源连通FPGA内部所有单元,连线长度和工艺方式决定着信号在线上的驱动能力和传输速度。底层嵌入功能单元指那些通用度较高的嵌入功能模块,比如PLL ( Phase Locked Loop )。 DLL ( Delay Locked Loop ), DSP和CPU等。内嵌专用硬核是相对于前面的“底层嵌入

35、功能单元”而言,不为大多数FPGA所包含的硬核。比如为了提高FPGA性能,适用高速通信总线与接口标准,很多高端FPGA集成了SERDES(串并收发器)等专用硬核。查找表(Look-Up-Table) 简称为LUT,LUT 本质上就是一个RAM。目前FPGA中多使用4输入的LUT,所以每一个LUT可以看成一个有4位地址线的RAM。当用户通过原理图或HDL语言描述了一个逻辑电路以后,CPLD/FPGA开发软件会自动计算逻辑电路的所有可能结果,并把真值表(即结果)事先写入RAM,这样,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出即可。表3.1 输入与门的真值表实

36、际逻辑电路LUT的实现方式A,b,c,d输入逻辑输出RAM地址RAM中存储的内容000000000000010000101111111111从表中可以看到,LUT具有和逻辑电路相同的功能。实际上,LUT具有更快的执行速度和更大的规模。由于基于LUT 的FPGA 具有很高的集成度,其器件密度从数万门到数千万门不等,可以完成极其复杂的时序与逻辑组合逻辑电路功能,所以适用于高速、高密度的高端数字逻辑电路设计领域。其组成部分主要有可编程输入/输出单元、基本可编程逻辑单元、内嵌SRAM、丰富的布线资源、底层嵌入功能单元、内嵌专用单元等8。3.1.2 Altera公司的FLEX10KFPGA主要设计和生产

37、厂家有赛灵思、Altera、Lattice、Actel、Atmel 和QuickLogic 等公司。Altera的FLEX10K器件是工业界首例嵌入式PLD。基于可重配置CMOS SRAM元件,灵活的逻辑元件矩阵结构结合了所有实现常规门阵列兆功能所需要的特性。由于具有高达250000的门单元,FLEX10K系列提供了密度、速度和集成整个系统。本设计中采用的控制芯片拥有的逻辑门为2万多个,RAM位数为12288,逻辑列快144个,逻辑单元数1152个,可自定义的引脚189个(总共为208个)其器件结构如图3.1所示18。图3.1 FLEX10K器件结构这种FPGA的结构可分为三块:宏单元(Mac

38、rocell)、可编程连线(PIA)和I/O控制块。宏单元是器件的基本结构,由它来实现基本的逻辑功能;可编程连线负责信号传递,连接所有的宏单元;I/O控制块负责输入输出的电气特性控制,比如可以设定集电极开路输出,摆率控制,三态输出等。INPUT/GCLK1,INPUT/GCLRn,INPUT/OE1,INPUT/OE2是全局时钟、清零和输出使能信号,这几个信号有专用连线与器件中每个宏单元相连,信号到每个宏单元的延时相同并且延时最短21。该器件具有良好的性能特性:高密度低功耗;高速度连接方式灵活;支持多电压I/O口;多种配置方式(内置JTAG边界扫描测试电路);多种封装形式,TOFP、PQFP、

39、BGA和PLC等。3.2 传感器3.2.1 传感器的分类传感器(transducer / sensor):能感受规定的被测量并按照一定的规律转换成可用信号的器件或装置,通常由敏感元件、转换元件和转换电路组成20。组成框图如图3.2所示。图3.2 传感器组成框图然而传感器是一门密集型技术,其原理各种个各样,它与许多学科有关,种类繁多,分类方法也很多,目前广泛采用的分类方法有如下几种。1、根据传感器工作原理,可分为物理型、化学型、生物型等。物理型传感器应用的是物理效应,诸如压电效应,磁致伸缩现象,离化、极化、热电、光电、磁电等效应。被测信号量的微小变化都将转换成电信号。化学传感器包括那些以化学吸附

40、、电化学反应等现象为因果关系的传感器,被测信号量的微小变化也将转换成电信号。2、根据传感器的用途,可分为温度传感器、压力传感器、流量传感器、液位传感器、成分传感器、湿度传感器、位移传感器等。3、根据输出信号,可分为模拟传感器将被测量的非电学量转换成模拟电信号;数字传感器将被测量的非电学量转换成数字输出信号(包括直接和间接转换);膺数字传感器将被测量的信号量转换成频率信号或短周期信号的输出(包括直接或间接转换)。开关传感器当一个被测量的信号达到某个特定的阈值时,传感器相应地输出一个设定的低电平或高电平信号。4、按照其制造工艺,可以将传感器区分为集成传感器,薄膜传感器,厚膜传感器陶瓷传感器。集成传

41、感器是用标准的生产硅基半导体集成电路的工艺技术制造的。通常还将用于初步处理被测信号的部分电路也集成在同一芯片上。薄膜传感器则是通过沉积在介质衬底(基板)上的,相应敏感材料的薄膜形成的。使用混合工艺时,同样可将部分电路制造在此基板上;厚膜传感器是利用相应材料的浆料,涂覆在陶瓷基片上制成的,基片通常是AL203制成的,然后进行热处理,使厚膜成形;陶瓷传感器采用标准的陶瓷工艺或其某种变种工艺(溶胶-凝胶等)生产。完成适当的预备性操作之后,已成形的元件在高温中进行烧结。厚膜和陶瓷传感器这二种工艺之间有许多共同特性,在某些方面,可以认为厚膜工艺是陶瓷工艺的一种变型。 3.2.2 传感器的选用原则现代传感

42、器在原理与结构上千差万别,如何根据具体的测量目的、测量对象以及测量环境合理地选用传感器,是在进行某个非电量的测量时首先要解决的问题。(1) 根据测量对象与测量环境确定传感器的类型。要进行一个具体的测量工作,首先要考虑采用何种原理的传感器,这要求分析多方面的因素。因为,即使是同一物理量,也有多种原理的传感器可供选择,哪一种原理的传感器更为合适,需要根据被测量的特点和传感器的使用条件考虑下面这些具体问题:量程的大小;被测位置对传感器体积的要求;测量方法为接触式还是非接触式;信号的引出方法有线或是非接触测量;还有就是来源,进口还是国产,价钱及是否自行研制9。(2)在考虑上述这些问题后,就能确定选用何

43、种类型的传感器,然后在考虑传感器的具体性能指标。如:线性度、灵敏度、迟滞、漂移、频率响应等。1、线性度:指传感器输出量与输入量之间的实际关系曲线偏离拟合直线的程度。定义为在全量程范围内实际特性曲线与拟合直线之间的最大偏差值与满量程输出值之比。通常情况下,传感器的实际静态特性输出是条曲线而非直线。在实际工作中,为使仪表具有均匀刻度的读数,常用一条拟合直线近似地代表实际的特性曲线、线性度(非线性误差)就是这个近似程度的一个性能指标。拟合直线的选取有多种方法。如将零输入和满量程输出点相连的理论直线作为拟合直线;或将与特性曲线上各点偏差的平方和为最小的理论直线作为拟合直线,此拟合直线称为最小二乘法拟合

44、直线。2、灵敏度:通常在传感器的线性范围内,希望传感器的灵敏度越高越好。因为只有灵敏度高时与被测量变化对应的输出信号的值才会比较大,有利于信号的处理。但是当传感器的灵敏度高时,与被测量无关的外界噪声也容易混入,也会被放大系统放大而影响测量精度。所以要求传感器本身应具备较高的信噪比尽量减少从外界引入的干扰信号。当传感器的输出、输入量的量纲相同时,灵敏度可理解为放大倍数。提高灵敏度,可得到较高的测量精度。但灵敏度愈高,测量范围愈窄,稳定性也往往愈差。 3、迟滞:传感器在输入量由小到大(正行程)及输入量由大到小(反行程)变化期间其输入输出特性曲线不重合的现象成为迟滞。对于同一大小的输入信号,传感器的

45、正反行程输出信号大小不相等,这个差值称为迟滞差值。4、漂移:传感器的漂移是指在输入量不变的情况下,传感器输出量随着时间变化,次现象称为漂移。产生漂移的原因有两个方面:一是传感器自身结构参数;二是周围环境(如温度、湿度等)。 5、分辨率:分辨力是指传感器可能感受到的被测量的最小变化的能力。也就是说,如果输入量从某一非零值缓慢地变化。当输入变化值未超过某一数值时,传感器的输出不会发生变化,即传感器对此输入量的变化是分辨不出来的。只有当输入量的变化超过分辨力时,其输出才会发生变化。通常传感器在满量程范围内各点的分辨力并不相同,因此常用满量程中能使输出量产生阶跃变化的输入量中的最大变化值作为衡量分辨力

46、的指标。上述指标若用满量程的百分比表示,则称为分辨率。分辨率与传感器的稳定性有负相相关性,且直接影响精度。6、频率响应特性:传感器的频率响应特性决定了被测量的频率范围,必须在允许频率范围内保持不失真的测量条件,实际上传感器的响应总有一些延迟,希望延迟时间越短越好。传感器的频率响应高,可测的信号频率范围就宽,而由于受到结构特性的影响,机械系统的惯性较大,因而频率低的传感器可测信号的频率低。在动态测量中,应根据信号特点(稳态、瞬态、随机等)来确定所需传感器的频率响应特性,以免产生过大的误差。还有线性范围、稳定性也得考虑,精度也是传感器的一个重要的性能指标,选取要能满足测量要求的精度等级即可9。3.

47、2.3 传感器选型根据上述分析,采集三路信号的传感器为,数字型温度传感器DS18B20,压力传感器PJT204,液位传感器为DX130。1、数字温度传感器DS18B20美国DALLAS公司生产的 DS18B20数字温度传感器,具有体积小,使用方便,封装形式多样,适用于各种狭小空间设备数字测温和控制领域。常见的封装有3脚、6脚和8脚三种方式,拿3脚举例说明:DQ为数字信号输入/输出端;GND为电源地:UDD 为外接供电电源输入端(在寄生电源接线方式时接地)。DS18B20主要有四部分组成:64位光刻ROM、温度传感器、非挥发的温度报警触发装器TH和TL、配置寄存器。光刻ROM中的64位序列号是出厂前被光刻好的,可看做是该DS18B20的地址序号。64位光刻ROM的排序是:开始8位(28H)是产品类型标号,接着的48位是该DS18B20自身的序列号,最后的8位是前面56位的循环冗余校验码(CRC码)。光刻ROM的作用是使每一个DS18B20都各不相同,这样可实现一根总线上挂多个DS18B20 。温度传感器可完成对温度的测量,用16位符号扩展的二进制补码读数形式提供,以0.062

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号