毕业设计(论文)基于单片机的信号发生器设计.doc

上传人:仙人指路1688 文档编号:3980454 上传时间:2023-03-30 格式:DOC 页数:44 大小:344.50KB
返回 下载 相关 举报
毕业设计(论文)基于单片机的信号发生器设计.doc_第1页
第1页 / 共44页
毕业设计(论文)基于单片机的信号发生器设计.doc_第2页
第2页 / 共44页
毕业设计(论文)基于单片机的信号发生器设计.doc_第3页
第3页 / 共44页
毕业设计(论文)基于单片机的信号发生器设计.doc_第4页
第4页 / 共44页
毕业设计(论文)基于单片机的信号发生器设计.doc_第5页
第5页 / 共44页
点击查看更多>>
资源描述

《毕业设计(论文)基于单片机的信号发生器设计.doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)基于单片机的信号发生器设计.doc(44页珍藏版)》请在三一办公上搜索。

1、扬州工业职业技术学院20092010学年第二学期毕业设计 课题名称: 单片机的信号发生器设计 设计时间: 2009.10-2010.3 系 部: 电子信息工程系 班 级: 0501 电子信息 姓 名: 指导教师: 总目录第一部分 任务书第二部分 开题报告第三部分 毕业设计报告第 一 部 分任务书扬州工业职业技术学院毕业设计任务书系 部电子系指导老师职 称助教学生姓名班 级0501电子信息学 号设计题目单片机的信号发生器设计设计内容目标和要求一、毕业设计主要内容及技术指标1、主要内容设计一个信号发生器,具有以下功能:(1)八位数码管显示时分秒,24小时制。(2) 可以在任意时刻一键校准时间功能。

2、.二、毕业设计的基本要求:1) 收集、整理与毕业设计有关领域的信息资料; 2) 完成本毕业设计方案的设计; 3) 完成本毕业设计电路原理图设计;4) 完成本毕业设计程序流程图和汇编语言源程序设计;5) 完成软件和硬件系统的调试,功能指标达到技术要求;6) 程序清单和图样资料;7) 根据本毕业设计的设计、编程、工作过程,形成符合学校规定的毕业设计书面文档;8)查阅文献不少于8篇教研室审核系部审核第 二 部 分开题报告扬州工业职业技术学院 电子信息工程系 2009届毕业设计(论文)开题报告书学生姓名专业电子信息技术班级0501电子信息学号题 目基于单片机的数字时钟设计指导教师职称助教学 位题目类别

3、 工程设计 基础研究 应用研究 其它【课题的内容与要求】设计一个数字电子钟,具有以下功能:(1)八位数码管显示时分秒,24小时制。(2) 可以在任意时刻一键校准时间功能。.要求:利用六位七段数码管,轻触按键一只,AT89C51单片机实现以上数字电子时钟功能,采用汇编语言编程【前言】单片机是20世纪70年代中期发展起来的一种大规模集成电路器件。它在一块硅芯片内集成了各种计算机功能部件,构成一种单片式的微型计算机。20世纪80年代以来,随着国际上单片机迅速发展,其应用不断深入,新技术层出不穷。也因为其体积小,功能强,成本地,尤其是随着CMOS工艺的发展,耗电也大大低于其它相似的电子产品,被广泛应用

4、于智能产品和工业控制之中。其中最著名的生产商就是INTEL公司,其开发的51系列单片机是目前市场上最典型和最有代表性的一种,也是国内市场用的最多的单片机。在其之后,世界上许多著名的半导体厂商相继生产和这个系列兼容的单片机,这就使得其产品型号不断地增加,品种不断丰富,功能不断增强。在国内外单片机应用中占有非常重要的地位。【方案的比较与评价】在本次设计中采用AT895单片机;显示电路的设计,显示电路采用六位七段数码管,这种数码管结构很简单使用很方便,已被普遍的使用。在这里采用数码管显示;校时和定时电路的设计;实时控制电路是时钟电路的一个重要组成部分,采用的是一个时钟芯片,单片机从中读取数据送到显示

5、器上显示,从而实现数字钟的功能;还有一些其他控制电路如复位电路、时钟电路等。通过这些控制电路的连接构成了完整的电路。【预期的效果及指标】完成具有时、分、秒的数字时钟设计。利用六位七段数码管,轻触按键一只,AT89C51单片机实现以上数字电子时钟功能【进度安排】第一阶段 2009 年10月3 日 - 2009 年 10 月 18日 选题、调研、收集资料、论证、开题第二阶段 2009 年10月19 日 - 2009 年 11 月 15日 方案、电路、硬件、软件设计 第三阶段 2009 年 11月16 日 - 2009 年 11 月 20日 软硬件调试、写作初稿第四阶段 2009 年 11月21 日

6、 - 2009 年 12 月 14日 修改、定稿、打印、答辩【参考文献】1. 李全利 迟荣强.单片机原理及接口技术. 高等教育出版社, 2004.12 李广弟,朱月秀,王秀山.单片机基础. 北京航空航天大学出版社,2001.73 赵曙光,郭万有 杨颂华.可编程逻辑器件,原理,开发与应用.西安电子科技大学, 2000.84 候伯亨.VHDL硬件描述语言与数字逻辑电路设计.西安电子科技大学出版社, 19995 周立功,夏宇闻.单片机与CPLD综合应用技术.北京航空航天出版社,2003.86 单片机开发.人民邮电出版社7 付家才.单片机控制工程实践技术.化学工业出版社, 2004.38 单片机课程设

7、计 实例指导.北京航空航天大学出版社, 20049 朱定华.单片机原理及接口技术实验.北方交通大学出版社, 2002.1110 马忠梅.单片机的C语言应用程序设计.北京航空航天大学出版社, 2003.1111 8031单片机时钟系统的统设计.人民邮电出版社, 20003.212 弘道工作室.融会贯通 Protel99电路设计.人民交通出版设, 200013 张伟,王力,赵晶.ProtelDXP 入门与提高 . 人民邮电出版社 ,20003.214 王天曦 ,李洪儒.电子技术工艺基础.清华大学出版社, 2000【指导教师意见】(有针对性地说明选题意义及工作安排是否恰当等)同意提交开题论证 修改后

8、提交 不同意提交(请说明理由)指导教师签章: 年 月 日 【系部意见】同意指导教师意见 不同意指导教师意见(请说明理由) 其它(请说明)队系(部)主任签章: 年 月 日目 录第一章 绪 论 11.1 单片机的概述 11.2 信号发生器分类 11.3 信号发生器的用途及发展趋势 11.4 研究内容 2第二章 方案的设计与选择 32.1 方案比较 32.2 设计原理 32.3 设计思想 42.4 设计功能 4第三章 主要器件介绍 53.1 AT89C51简介 53.1.1 主要特性 53.1.2 管脚说明 63.2 8155 简介 73.3 DAC0832 简介83.3.1 工作原理 83.3.2

9、 引脚图及其功能 93.4 数码管显示原理 93.5 数码管字形编码10第四章 硬件设计 114.1 硬件原理框图 114.2 主控电路 114.3 数模转换电路 124.4 按键接口电路 134.5 时钟电路 134.6 显示电路 14第五章 软件设计 155.1 程序流程图 155.2 波形仿真 17结束语 19参考文献 20附录一 22附录二 32单片机的信号发生器设计XXX摘 要本文介绍一种用AT89C51单片机构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的周期可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。文章给出

10、了源代码,通过仿真测试,其性能指标达到了设计要求。关键词:单片机;DAC;信号发生器Design of Signal Generator Based on MCU Zhuqin Wangjing Daipingping、AbstractThis paper introduces a signal generator with MCU AT89C51,which is used to generate electro-wave-forms such as squares、triangles、sines and teeth-saw. The periods of these signals can

11、 be changed by programs of MCU AT89C51 and their outputs can be selected to be monopolar or bipolar. This paper provides the original code. And the technical parameter of the signal generator meets the request of the design after the simulation test. Key words:MCU;DAC;signal generator 第一章 绪 论1.1单片机概

12、述随着大规模集成电路技术的发展,中央处理器(CPU)、随机存取存储器(RAM)、只读存储器(ROM)、(I/O)接口、定时器/计数器和串行通信接口,以及其他一些计算机外围电路等均可集成在一块芯片上构成单片微型计算机,简称为单片机。单片机具有体积小、成本低,性能稳定、使用寿命长等特点。其最明显的优势就是可以嵌入到各种仪器、设备中,这是其他计算机和网络都无法做到的9,10。1.2信号发生器的分类信号发生器应用广泛,种类繁多,性能各异,分类也不尽一致。按照频率范围分类可以分为:超低频信号发生器、低频信号发生器、视频信号发生器、高频波形发生器、甚高频波形发生器和超高频信号发生器。按照输出波形分类可以分

13、为:正弦信号发生器和非正弦信号发生器,非正弦信号发生器又包括:脉冲信号发生器,函数信号发生器、扫频信号发生器、数字序列波形发生器、图形信号发生器、噪声信号发生器等。按照信号发生器性能指标可以分为一般信号发生器和标准信号发生器。前者指对输出信号的频率、幅度的准确度和稳定度以及波形失真等要求不高的一类信号发生器。后者是指其输出信号的频率、幅度、调制系数等在一定范围内连续可调,并且读数准确、稳定、屏蔽良好的中、高档信号发生器。1.3信号发生器的用途及发展趋势信号发生器是一种常用的信号源,广泛应用于科学研究、生产实践和教学实验等领域。特别是在通信系统的科研实验中,常常需要用到多种不同频率和相位的信号,

14、如正弦波、三角波、方波和锯齿波等,因此多功能信号发生器应用十分广泛。在数字化时代的今天,经典的由模拟电路组成的信号发生器已经渐渐远离了人们,取而代之的是电路简洁、功能多样、功耗低的数字电路。在以后的时间里,将会有越来越多的数字化的信号发生器运用在各种科学技术领域和工程实践中,给人们的日常生活带来更多的便利1。1.4 研究内容本文是做基于单片机的信号发生器的设计,将采用编程的方法来实现三角波、锯齿波、矩形波、正弦波的发生。根据设计的要求,对各种波形的频率和幅度进行程序的编写,并将所写程序装入单片机的程序存储器中。在程序运行中,当接收到来自外界的命令,需要输出某种波形时再调用相应的中断服务子程序和

15、波形发生程序,经电路的数/模转换器和运算放大器处理后,从信号发生器的输出端口输出。第二章 方案的设计与选择2.1 方案的比较方案一:采用单片函数发生器(如8038),8038可同时产生正弦波、方波等,而且方法简单易行,用D/A转换器的输出来改变调制电压,也可以实现数控调整频率,但产生信号的频率稳定度不高。方案二:采用锁相式频率合成器,利用锁相环,将压控振荡器(VCO)的输出频率锁定在所需频率上,该方案性能良好,但难以达到输出频率覆盖系数的要求,且电路复杂。方案三:采用单片机编程的方法来实现。该方法可以通过编程的方法来控制信号波形的频率和幅度,而且在硬件电路不变的情况下,通过改变程序来实现频率的

16、变换。此外,由于通过编程方法产生的是数字信号,所以信号的精度可以做的很高。鉴于方案一的信号频率不够稳定和方案二的电路复杂,频率覆盖系数难以达标等缺点,所以决定采用方案三的设计方法。它不仅采用软硬件结合,软件控制硬件的方法来实现,使得信号频率的稳定性和精度的准确性得以保证,而且它使用的几种元器件都是常用的元器件,容易得到,且价格便宜,使得硬件的开销达到最省1,7。2.2 设计原理数字信号可以通过数/模转换器转换成模拟信号,因此可通过产生数字信号再转换成模拟信号的方法来获得所需要的波形。89C51单片机本身就是一个完整的微型计算机,具有组成微型计算机的各部分部件:中央处理器CPU、随机存取存储器R

17、AM、只读存储器ROM、I/O接口电路、定时器/计数器以及串行通讯接口等,只要将89C51再配置键盘及其接口、显示器及其接口、数模转换及波形输出、指示灯及其接口等四部分,即可构成所需的波形发生器,其信号发生器构成原理框图如图2.1所示2。 输出滤波放大D/A转换器接口电路89C51单片机图2.1 信号发生器原理框图89C51是整个波形发生器的核心部分,通过程序的编写和执行,产生各种各样的信号,并从键盘接收数据,进行各种功能的转换和信号幅度的调节。当数字信号经过接口电路到达转换电路,将其转换成模拟信号也就是所需要的输出波形。2.3 设计思想(1)利用单片机产生方波、正弦波、三角波和锯齿波等信号波

18、形,信号的频率和幅度可变。(2)将一个周期的信号分离成256个点(按X轴等分),每两点之间的时间间隔为T,用单片机的定时器产生,其表示式为:T=T/256。如果单片机的晶振为12MHz,采用定时器方式0,则定时器的初值为: X=213T/Tmec (2.1)定时时间常数为: TL =(8192T)/MOD256 (2.2)TH = (8192T)/256 (2.3)MOD32表示除32取余数(3)正弦波的模拟信号是D/A转换器的模拟量输出,其计算公式为: Y=(A/2sint)+A/2 (其中A=VREF) (2.4)t=NT (N=1256) (2.5)那么对应着存放在计算机里的这一点的数据

19、为: (2.6) (4)一个周期被分离成256个点,对应的四种波形的256个数据存放在以TAB1-TAB4为起始地址的存储器中。2.4 设计功能(1)本方案利用8155扩展8个独立式按键,6个LED显示器。其中“S0”号键代表方波输出,“S1”号键代表正弦波输出,“S2”号键代表三角波输出,“S3” 号键代表锯齿波输出。(2)“S4”号键为10Hz的频率信号,“S5”号键为100Hz的频率信号,“S6”号键为500Hz的频率信号,“S7”号键为1KHz的频率信号,6个LED显示器输出信号的频率值,选用共阳极LED。(3)利用两片DAC0832实现幅度可调的信号源,(其中一片用来调节幅度,另外一

20、片用来实现信号源的输出)。(4)频率范围:101000Hz。(5)输出波形幅度为05V。第三章 主要器件介绍3.1 AT89C51简介在设计中,AT89C51用于产生波形的数字信号,并控制信号的频率和幅度。AT89C51是一种带4K字节闪烁可编程可擦除只读存储器(FPEROMFalsh Programmable and Erasable Read Only Memory)的低电压,高性能CMOS 8位微处理器,俗称单片机。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C

21、51是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。其引脚图如图3.1所示: 图3.1 AT89C51引脚图3.1.1 主要特性 8031 CPU与MCS-51 兼容 4K字节可编程FLASH存储器(寿命:1000写/擦循环) 全静态工作:0Hz-24KHz 三级程序存储器保密锁定 128*8位内部RAM 32条可编程I/O线 两个16位定时器/计数器 6个中断源 可编程串行通道 低功耗的闲置和掉电模式 片内振荡器和时钟电路3.1.2 管脚说明P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8个TTL门电流。当P0口的管脚第一次写1时,被定义为高阻输入。P0能够

22、用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口

23、的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL),这是由于上拉的缘故。RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的

24、高电平时间。ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的低位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时,ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。PSEN:外部程序存储器的选通信号。在由外部程序存储器取址期间,每个机器周期两次PSEN有效

25、。但在访问外部数据存储器时,这两次有效的PSEN信号将不出现。EA/VPP:当EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,EA将内部锁定为RESET,当EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。XTAL2:来自反向振荡器的输出。VCC:供电电压。GND:接地。3.2 8155芯片简介8155是通用的可编程并行接口芯片,在设计中用做键盘、显示器的扩充。其引脚图如图3.2所示:图3.2 8155引脚图RST:复

26、位信号输入端,高电平有效。复位后,3个I/O口均为输入方式。AD0AD7:三态的地址/数据总线。与单片机的低8位地址/数据总线(P0口)相连。单片机与8155之间的地址、数据、命令与状态信息都是通过这个总线口传送的。:读选通信号,控制对8155的读操作,低电平有效。 :写选通信号,控制对8155的写操作,低电平有效。 :片选信号线,低电平有效。IO/:8155的RAM存储器或I/O口选择线。当IO/0时,则选择8155的片内RAM,AD0AD7上地址为8155中RAM单元的地址(00HFFH),当IO/1时,选择8155的I/O口,AD0AD7上的地址为8155 I/O口的地址。ALE:地址锁

27、存信号。8155内部设有地址锁存器,在ALE的下降沿将锁存单片机P0口输出的低8位地址信息及,IO/的状态都锁存到8155内部锁存器。因此,P0口输出的低8位地址信号不需外接锁存器。PA0PA7:8位通用I/O口,其输入、输出的流向可由程序控制。PB0PB7:8位通用I/O口,功能同A口。PC0PC5:有两个作用,既可作为通用的I/O口,也可作为PA口和PB口的控制信号线,这些可通过程序控制。TIMER IN:定时/计数器脉冲输入端。TIMER OUT:定时/计数器输出端。VCC:5V电源。3.3 DAC0832简介3.3.1 工作原理在设计中,DAC0832主要用来将数字信号转换成模拟信号。

28、DAC0832主要由8位输入寄存器、8位DAC寄存器、8位D/A转换器以及输入控制电路四部分组成。8位输入寄存器用于存放主机送来的数字量,使输入数字量得到缓冲和锁存,由加以控制,8位DAC寄存器用于存放待转换的数字量,并加以控制。8位D/A转换器输出与数字量成正比的模拟电流,由与门、与非门组成的输入控制电路来控制2个寄存器的选通或锁存状态。其原理框图如图3.3所示。图3.3 DAC0832原理框图当WR2和XFER同时有效时,8位DAC寄存器端为高电平“1”,此时DAC寄存器的输出端Q跟随输入端D也就是输入寄存器Q端的电平变化,反之,当端为低电平“0”时,第一级8位输入寄存器Q端的状态则锁存到

29、第二级8位DAC寄存器中,以便第三级8位DAC转换器进行D/A转换。3.3.2 引脚图及其功能各引脚分布如图3.4所示。引脚功能如下:(1) DI7DI0 :8位的数据输入端,DI7为最高位。(2) IOUT1 :模拟电流输出端1,当DAC寄存器中数据全为1时,输出电流最大,当 DAC寄存器中数据全为0时,输出电流为0。(3) IOUT2 :模拟电流输出端2, IOUT2与IOUT1的和为一个常数,即IOUT1IOUT2常数。(4) RFB:反馈电阻引出端,DAC0832内部已经有反馈电阻,所以RFB端可以直接接到外部运算放大器的输出端,这样相当于将一个反馈电阻接在运算放大器的输出端和输入端之

30、间。(5) VREF :参考电压输入端,此端可接一个正电压,也可接一个负电压,它决定0至255的数字量转化出来的模拟量电压值的图3.4 DAC0832引脚图幅度,VREF范围为(+10-10)V。VREF端与D/A内部T形电阻网络相连。(6) Vcc :芯片供电电压,范围为(+5 15)V。(7) AGND :模拟量地,即模拟电路接地端。(8) DGND :数字量地。3.4 数码管显示原理共阳极数码管的8个发光二极管的阳极(二极管正端)连接在一起,通常,公共阳极接高电平(一般接电源),其它管脚接段驱动电路输出端。当某段驱动电路的输出端为低电平时,则该端所连接的字段导通并点亮,根据发光字段的不同

31、组合可显示出各种数字或字符。此时,要求段驱动电路能吸收额定的段导通电流,还需根据外接电源及额定段导通电流来确定相应的限流电阻。共阴极数码管的8个发光二极管的阴极(二极管负端)连接在一起,通常,公共阴极接低电平(一般接地),其它管脚接段驱动电路输出端,当某段驱动电路的输出端为高电平时,则该端所连接的字段导通并点亮,根据发光字段的不同组合可显示出各种数字或字符。此时,要求段驱动电路能提供额定的段导通电流,还需根据外接电源及额定段导通电流来确定相应的限流电阻。其结构如图3.5所示。 (a) 外型结构 (b) 共阴极 (c)共阳极 图3.5 数码管结构图3.5 数码管字形编码要使数码管显示出相应的数字

32、或字符必须使得数据口输出相应的字形编码。对照图3.5(a),字型码各位定义如下:数据线DB0与a字段对应,DB1字段与b字段对应,依此类推。如使用共阳极数码管,数据为0表示对应字段亮,数据为1表示对应字段暗;如使用共阴极数码管,数据为0表示对应字段暗,数据为1表示对应字段亮。数码管字形编码如表3.1所示8。表3.1 数码管字型编码表显示字符字形共 阳 极共 阴 极dpgfedcba字型码dpgfedcba字形码0011000000C0H001111113FH1111111001F9H0000011006H2210100100A4H010110115BH3310110000B0H01001111

33、4FH441001100199H0110011066H551001001092H011011016DH661000001082H011111017DH7711111000F8H0000011107H881000000080H011111117FH991001000090H011011116FH第四章 硬件设计 4.1 硬件原理框图硬件原理方框图如图4.1所示。波形输出放大电路复位电路显示电路数/模转换电路键盘电路单片机图4.1 硬件原理框图4.2 主控电路AT89C51单处机内部设置两个16位可编程的定时器/计数器T0和T1,它们具有计数器方式和定时器方式两种工作方式及4种工作模式。在波形发生

34、器中,将其作定时器使用,用它来精确地确定波形的两个采样点输出之间的延迟时间。模式1采用的是16位计数器,当T0或T1被允许计数后,从初值开始加计数,最高位产生溢出时向CPU请求中断。中断系统是使处理器具有对外界异步事件的处理能力而设置的。当中央处理器CPU正在处理某件事的时候外界发生了紧急事件,要求CPU暂停当前的工作,转而去处理这个紧急事件。在波形发生器中,只用到片内定时器计数器溢出时产生的中断请求,即是在AT89C51输出一个波形采样点信号后,接着启动定时器,在定时器未产生中断之前,AT89C51等待,直到定时器计时结束,产生中断请求,AT89C51响应中断,接着输出下一个采样点信号,如此

35、循环产生所需要的信号波形6。如图4.2所示,AT89C51从P0口接收来自键盘的信号,并通过P2口输出一些控制信号,将其输入到8155的信号控制端,用于控制其信号的输入、输出。如果有键按下,则在读控制端会产生一个读信号,使单片机读入信号。如果有信号输出,则在写控制端产生一个写信号,并将所要输出的信号通过8155的PB口输出,并在数码管上显示出来。图4.2 主控电路图4.3 数/模转换电路由于单片机产生的是数字信号,要想得到所需要的波形,就要把数字信号转换成模拟信号,所以该文选用价格低廉、接口简单、转换控制容易并具有8位分辨率的数模转换器DAC0832。DAC0832主要由8位输入寄存器、8位D

36、AC寄存器、8位D/A转换器以及输入控制电路四部分组成。但实际上,DAC0832输出的电量也不是真正能连续可调,而是以其绝对分辨率为单位增减,是准模拟量的输出。DAC0832是电流型输出,在应用时外接运放使之成为电压型输出。由图4.3可知,DAC0832的片选地址为7FFFH,当P25有效时,若P0口向其送的数据为00H, 则U1 的输出电压为0V;若P0口向其送的数据为0FFH时, 则U1的输出电压为-5V. 故当U1 输出电压为0V时,由公式 得:Vout = - 5V.当输出电压为- 5V时,可得:Vout = +5V,所以输出波形的电压变化范围为- 5V+ 5V. 故可推得,当P0所送

37、数据为80H时,Vout为0V4。图4.3 数模转换电路4.4 按键接口电路图4.4为键盘接口电路的原理图,图中键盘和8155的PA口相连,AT89C51的P0口和8155的D0口相连,AT89C51不断的扫描键盘,看是否有键按下,如有,则根据相应按键作出反应。其中“S0”号键代表方波输出,“S1”号键代表正弦波输出,“S2”号键代表三角波输出。 “S3”号键代表锯齿波输出,“S4”号键为10Hz的频率信号,“S5”号键为100Hz的频率信号,“S6”号键为500Hz的频率信号,“S7”号键为1KHz的频率信号3。 图4.4 按键接口4.5 时钟电路8051单片机有两个引脚(XTAL1,XTA

38、L2)用于外接石英晶体和微调电容,从而构成时钟电路,其电路图如图4.5所示。电容C1、C2对振荡频率有稳定作用,其容量的选择为30pf,振荡器选择频率为12MHz的石英晶体。由于频率较大时,三角波、正弦波、锯齿波中每一点的延时时间为几微秒,故延时时间还要加上指令时间才能获得较大的频率波形9。 图4.5 时钟电路4.6 显示电路显示电路是用来显示波形信号的频率,使得整个系统更加合理,从经济的角度出发,所以显示器件采用LED数码管显示器。而且LED数码管是采用共阳极接法,当主控端口输出一个低电平后,与其相对应的数码管即变亮,显示所需数据。其器件模型如图4.6所示。图4.6 LED显示电路第五章 软件设计5.1 程序流程图本文中子程序的调用是通过按键的选择来实现,在取得按键相应的键值后,启动计时器和相

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号