简易电子琴的设计毕业论文.doc

上传人:文库蛋蛋多 文档编号:3990599 上传时间:2023-03-30 格式:DOC 页数:7 大小:59KB
返回 下载 相关 举报
简易电子琴的设计毕业论文.doc_第1页
第1页 / 共7页
简易电子琴的设计毕业论文.doc_第2页
第2页 / 共7页
简易电子琴的设计毕业论文.doc_第3页
第3页 / 共7页
简易电子琴的设计毕业论文.doc_第4页
第4页 / 共7页
简易电子琴的设计毕业论文.doc_第5页
第5页 / 共7页
点击查看更多>>
资源描述

《简易电子琴的设计毕业论文.doc》由会员分享,可在线阅读,更多相关《简易电子琴的设计毕业论文.doc(7页珍藏版)》请在三一办公上搜索。

1、简易电子琴的设计孙凡 (大连理工大学 信息工程学院)摘 要 本课程设计主要采用EDA技术设计了一个简易的八音符电子琴,它可通过按键输入来控制音响从而演奏出已存入的乐曲。在课程设计中,系统开发平台为Windows XP,程序设计采用VHDL语言1,程序运行平台为Quartus6.0。然后编写程序实现电子琴的各项功能,使不同的音阶对应不同频率的正弦波,按下不同的键时发出对应频率的声音。程序通过调试运行,时序仿真,电路功能验证,顺利地实现了设计目标。关键词 电子琴;EDA;VHDL;音阶;频率Design of a simple electronic organSun Yang (College o

2、f Information Engineering ,Dalian University)Abstract The main use of this curriculum design EDA technology to design a simple eight-note organ, it can be key to control the audio input so as to have been deposited in a concert of music. In the course design, system development platform for Windows

3、XP, the procedures VHDL design language1, platform for running Quartus6.0. And then program to achieve the various functions of the organ, so that different scale corresponds to a different frequency sine wave, press different keys when the voice of the corresponding frequency. Running through the d

4、ebugging process, timing simulation, functional verification circuit, successfully achieved the goal of the design.Key Words Electronic organ; EDA; VHDL; scale; frequency1. 引 言 随着信息科学的进步,现代电子产品的性能越来越高,复杂度越来越大,更新步伐也越来越快,电子技术的发展进入了划时代的阶段。其中电子技术的核心便是电子设计自动化EDA2(Electronic Design Automatic)技术。EDA是指以计算机为

5、工作平台,融合了应用电子技术、计算机技术、智能化技术的最新成果而开发出的电子CAD通用软件包,它根据硬件描述语言VHDL完成的设计文件,自动完成逻辑编译、化简、分割、综合、优化、布局布线及仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。本课程设计就是采用EDA技术描述语言VHDL编程实现简易电子琴的各项功能,是EDA技术应用于实际的一个很好的例子。1.1 课程设计目的运用VHDL语言对简易电子琴的各个模块进行设计,并使用EDA工具对各模块进行仿真验证。本设计包含如下三个模块:乐曲自动演奏模块,音调发生模块,数控分频模块,最后把各个模块整合后,通过电路的输入输出对应关系连接起

6、来。1.2 课程设计内容(1)设计一个简易的八音符电子琴,它可通过按键输入来控制音响。(2)演奏时可以选择是手演奏(键盘输入)或自动演奏已存入的乐曲,并且能自动重复演奏。1.3 课程设计原理 本课程设计目的在于灵活运用EDA技术编程实现一个简易电子琴的乐曲演奏,它要求在实验箱上构造一个电子琴电路,不同的音阶对应不同频率的正弦波。按下每个代表不同音阶的按键时,能够发出对应频率的声音。由于设计分模块组成,每个单独的模块都是一个完整的源程序,分别实现不同性质的功能,但是每个模块又是紧密关联的,前一个模块的输出很可能是后一模块的输入。另外,时钟脉冲信号在本课程设计中用的最多,用处也最大,一般情况下时钟

7、信号处上升沿有效,判断和控制各个计数器计数多少。2. EDA、VHDL简介 EDA技术是以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。EDA可提供文本输入以及图形编辑的方法将设计者的意图用程序或者图形方式表达出来,而我们经常用到的VHDL语言便是用于编写源程序所需的最常见的硬件描述语言(HDL)之一。2.1 EDA技术 EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD、CAM、CAT和CAE的概念发展而来的。EDA技术是电子设计的发展趋势,利用EDA工具可以代替设计者完成电

8、子系统设计中的大部分工作。EDA工具从数字系统设计的单一领域,发展到今天,应用范围已涉及模拟、微波等多个领域,可以实现各个领域电子系统设计的测试、设计方针和布局布线等。现在对EDA的概念或范畴用得很宽,包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA主要辅助进行三个方面的设计工作:IC设计、电子电路设计和PCB设计。2.2 VHDL语言常用硬件描述语言有VHDL、Verilog和ABEL语言,而VHDL主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL的结构特点是将设计实体的内部功能和算法完成部分。相对

9、于其他硬件语言,VHDL有许多优点。比如VHDL的行为描述能力更强,而且具有丰富的仿真语句和库函数,随时可对系统进行仿真模拟,使设计者对整个工程的结构和功能可行性做出判断;另外,由于具有类属描述语句和子程序调用等功能,对于完成的设计,在不改变源程序的条件下,只需改变类属参量和函数,就能轻易地改变设计的规模和结构。3. 简易电子琴的设计过程根据系统设计要求,系统该系统基于计算机中时钟分频器3的原理,设计采用自顶向下的设计方法,通过按键输入来控制音响或者自动演奏已存入的歌曲。它由乐曲自动演奏模块、音调发生模块和数控分频模块三部分组成。根据实验实际情况,这里只详细介绍数控分频模块。3.1 乐曲自动演

10、奏模块乐曲自动演奏模块的作用是产生8位发生控制输入信号。当进行自动演奏时,由存储在此模块的8位二进制数作为发声控制输入,从而自动演奏乐曲。该模块的VHDL源程序主要由3个工作进程组成,分别为PULSE0,MUSIC和COM1。该模块最主要的用途就是将输入二进制数转化为发声控制输入,是产生音符的重要步骤。3.2 音调发生模块音调发生模块的作用是产生音阶的分频预置值。当8位发声控制输入信号中的某一位为高电平时,则对应某一音阶的数值将输出,该数值即为该音阶的分频预置值,分频预置值控制数控分频模块进行分频,由此得到每个音阶对应的频率。该模块最主要的作用就是给音符输入预设频率值,因为,电子琴最终实现乐曲

11、演奏就是输出不同频率的正弦波,此模块就是将二进制发声信号转化为对应的频率。3.3 数控分频模块数控分频模块是对时基脉冲进行分频,得到与0、1、2、3、4、5、6、7八个音符相对应的频率。该模块主要由4个工作进程组成。首先,根据系统时钟信号的输入得到时基脉冲以及计数器的值,而时钟信号在AUTO模块中便已给出,两者之间的设置关系类似于AUTO模块中第一个工作进程的设置。第二个PROCESS是此模块的核心,即由时基脉冲值转化为音符的频率。源程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ie

12、ee.std_logic_unsigned.all;entity yinjie is port( clk : in std_logic; jian : in std_logic_vector(7 downto 0); speak_out : out std_logic - d : out std_logic_vector(7 downto 0);end yinjie;architecture jly of yinjie issignal count : std_logic_vector(7 downto 0);signal d : std_logic_vector(7 downto 0);be

13、gin process(jian) begin case jian is when 10000000=dddddddd=11111111; end case; -音节 end process; process( clk,d ) begin if clkevent and clk=1 then if count=d then count=00000000; else count=count+1; end if; - if count = 00111111 then speak_out=1; else speak_outother files-vector waveform file. (2)点击

14、空白处,点开INSERT-insert node,再点击-node finder-list,把元器件添加进去,得到其仿真波形图。本课程设计的仿真平台是Quartus6.0,通过对VHDL源程序进行编译检错,然后创建波形文件,加入输入输出变量,选择适用的芯片(EP1C3T114C8)5以及设定仿真结束时间,设置好输入初值进行仿真,得到仿真波形图。 数控分频模块的仿真如图4-1所示。图4-1 数控分频模块的仿真图该图输入系统时钟信号CLK1初值为0(各输出值都是在时钟信号的下降沿有效),音符分频系数TONE1为00100000即1290Hz,驱动扬声器的音频信号SPKS输出为1。4.2 电路功能验

15、证如果说前面的过程都是理论上进行软件设计制作,那么电路验证则是硬件产生实际结果的必要步骤,它是软件编程导入硬件系统得到最终设计目标的一个过程。硬件和软件系统相连接的枢纽就是芯片引脚和VHDL主程序中所有输入输出之间对应的关系。在选择好芯片以及设置引脚值后,下载源程序到此芯片上,确认编程器硬件是否已安装好。数控分频模块的引脚图如图4-2所示。图4-2 数控分频模块的引脚图4.3 问题分析在此次设计中,较成功的完成了简易的八音符电子琴设计,它可以通过按键输入来控制音响,系统演奏时可以选择是手动演奏(由键盘输入)还是自动演奏已存入的乐曲,且可重复演奏。通过仿真,验证结果正确。通过使用Quartus6

16、.0和VHDL进行了简单的电子琴设计,成功完成预期效果,加深了我对课堂上所学理论知识的理解,给我提供了一个在学习生活中很难得的理论联系实际的机会,让我深刻体会到在设计遇到不同问题时,首先应该理解问题的关键所在,因为用语言编写程序需要以仔细认真的态度,一点点错误漏洞都将导致整个源程序无法编译运行,阻碍下一步工作的完成进度。5. 结束语通过这次VHDL课程设计,不仅增强了我们的实践动手能力,也让我们对课堂上所学到的理论知识的理解加深了许多,这给我们提供了一个在学习生活中很难得的理论联系实际的机会。能够借此机会了解到部分EDA技术的知识和学习运用其中一种硬件描述语言VHDL编程实现各种常用器件的功能

17、,这是在书本中得不到的一笔巨大财富。另一方面我们也发现了在平时学习过程中难于发现的许多缺点跟不足。比如实践机会过少,所学的理论知识不能灵活运用,在遇到实际的问题时无法正确处理;再者在课堂上获得的专业知识过于浅显,很多的有关基本操作原理、操作方法都理解不了;课外知识了解的也过少,导致在课程设计初期,面对完全陌生的设计课题无从下手,不知所措。这就提醒我们在平时的学习生活中不能一味埋头于面前的课本知识。当然,在学习之余我们更应该积极参加各种有关专业知识的实践活动和比赛,巩固所学理论,多注意培养初步的实际工作能力和专业技术能力,这样在以后的工作岗位上不会显得那么仓促与生疏。参考文献 1卢毅、赖杰。VHDL与数字电路设计【M】。北京:科学出版社,2001.32潘松、黄继业。EDA技术实用教程【M】。北京:科学出版社,2005.53陈雪松、滕立忠。VHDL入门与应用。北京:人民邮电出版社,2001.54张瑾、韩睿、李泽光。EDA技术及应用教程(试用)。大连大学电子工程系5焦素敏。EDA技术基础。北京:清华大学出版社,2009.8

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号