基于DDS的数字式函数信号发生器设计毕业设计(论文).doc

上传人:仙人指路1688 文档编号:4015874 上传时间:2023-04-01 格式:DOC 页数:82 大小:1.32MB
返回 下载 相关 举报
基于DDS的数字式函数信号发生器设计毕业设计(论文).doc_第1页
第1页 / 共82页
基于DDS的数字式函数信号发生器设计毕业设计(论文).doc_第2页
第2页 / 共82页
基于DDS的数字式函数信号发生器设计毕业设计(论文).doc_第3页
第3页 / 共82页
基于DDS的数字式函数信号发生器设计毕业设计(论文).doc_第4页
第4页 / 共82页
基于DDS的数字式函数信号发生器设计毕业设计(论文).doc_第5页
第5页 / 共82页
点击查看更多>>
资源描述

《基于DDS的数字式函数信号发生器设计毕业设计(论文).doc》由会员分享,可在线阅读,更多相关《基于DDS的数字式函数信号发生器设计毕业设计(论文).doc(82页珍藏版)》请在三一办公上搜索。

1、本科生毕业设计(论文)中文题目: 数字式函数信号发生器设计 英文题目: A DESIGN OF FUNCTION SIGNAL GENERATOR BASED ON DDS 毕业论文(设计)原创性声明本人所呈交的毕业论文(设计)是我在导师的指导下进行的研究工作及取得的研究成果。据我所知,除文中已经注明引用的内容外,本论文(设计)不包含其他个人已经发表或撰写过的研究成果。对本论文(设计)的研究做出重要贡献的个人和集体,均已在文中作了明确说明并表示谢意。 作者签名: 日期: 毕业论文(设计)授权使用说明本论文(设计)作者完全了解*学院有关保留、使用毕业论文(设计)的规定,学校有权保留论文(设计)并

2、向相关部门送交论文(设计)的电子版和纸质版。有权将论文(设计)用于非赢利目的的少量复制并允许论文(设计)进入学校图书馆被查阅。学校可以公布论文(设计)的全部或部分内容。保密的论文(设计)在解密后适用本规定。 作者签名: 指导教师签名: 日期: 日期: 注 意 事 项1.设计(论文)的内容包括:1)封面(按教务处制定的标准封面格式制作)2)原创性声明3)中文摘要(300字左右)、关键词4)外文摘要、关键词 5)目次页(附件不统一编入)6)论文主体部分:引言(或绪论)、正文、结论7)参考文献8)致谢9)附录(对论文支持必要时)2.论文字数要求:理工类设计(论文)正文字数不少于1万字(不包括图纸、程

3、序清单等),文科类论文正文字数不少于1.2万字。3.附件包括:任务书、开题报告、外文译文、译文原文(复印件)。4.文字、图表要求:1)文字通顺,语言流畅,书写字迹工整,打印字体及大小符合要求,无错别字,不准请他人代写2)工程设计类题目的图纸,要求部分用尺规绘制,部分用计算机绘制,所有图纸应符合国家技术标准规范。图表整洁,布局合理,文字注释必须使用工程字书写,不准用徒手画3)毕业论文须用A4单面打印,论文50页以上的双面打印4)图表应绘制于无格子的页面上5)软件工程类课题应有程序清单,并提供电子文档5.装订顺序1)设计(论文)2)附件:按照任务书、开题报告、外文译文、译文原文(复印件)次序装订3

4、)其它摘 要直接数字合成是一种新的频率合成方法,是频率合成技术的一次革命,随着数字频率集成电路和微电子技术的发展,直接数字频率合成技术日益显露出它的优越性。本文利用直接数字频率合成器(DDS)与单片机控制技术,研制和设计了高频率、高稳定度的函数信号发生器。在系统总体方案设计中,将DDS信号发生器分成8个模块:键盘模块、单片机模块、显示模块、DDS模块、电源模块、采样保持与A/D转换模块、低通滤波器模块和放大模块,按模块进行软硬件设计。系统的硬件设计,完成了系统的硬件总体设计,并对具体实现电路进行详细的分析和设计。在系统软件设计中,对系统软件的主要功能按模块进行了介绍。关键词:信号发生器 DDS

5、 单片机 频率 设计 ABSTRACT The Direct Digital Frequency Synthesis is a kind of new frequency synthesis method and also a revolution in the frequency synthesis techniques. With the development of digital integrated circuits and microelectronic techniques, DDS exhibits its advantages day by day. This Paper i

6、ntroduces a high frequency and high stability signal generator design based on MCU control technology and direct digital synthesis (DDS). In the over all system design, the DDS signal generators would be classified into 8 module: keyboard module, single chip module, display module, DDS module,power

7、module,sample and hold module,A/D converse module,low-Pass filter module and amplification module,where both software and hardware design are accomplished according to each module.In the design of system hardware,specific analysis and design for how to realize the electric circuits had been carried

8、out.In the design of system hardware,specific analysis and design for how realize the electric circuits had been carried out. In the design of system software,their main functions were introduced according to module.KEYWORDS: signal generator; DDS; MCU; frequency; design目 录摘 要IABSTRACTII目 录III1 绪论11

9、.1 选题背景11.2 DDS技术的研究现状与发展趋势21.3 选题研究的目的及意义31.4 研究内容及目标42 DDS信号源设计技术基础52.1 频率合成技术52.1.1 频率合成技术指标:52.2 直接数字频率合成原理62.2.1 DDS结构62.2.2 DDS的工作原理82.2.3 DDS数学原理92.3 DDS性能特点112.4 DDS芯片AD9850122.4.1 AD9850简介122.4.2 AD9850的控制字与控制时序152.4.3 AD9850频率稳定度及频率准确度183.1 系统总体硬件框图193.2 MCU主控部分硬件设计203.2.1 AT89C52的功能和结构213

10、.2.2 AT89C52的I/O口规划213.3 AD985O外围电路设计233.3.1 晶振的选择233.3.2 AD9850电源模块的设计243.3.3 AD985O接口电路243.3.4 滤波电路263.4采样保持与A/D转换电路293.4.1采样保持电路293.4.2 A/D转换303.5输入输出接口电路设计323.5.1输入接口电路设计323.5.2输出接口电路设计333.6 功率放大器393.7 电源模块设计404.1 软件总体流程424.2 系统初始化434.2.1 AD9850初始化子程序434.2.1 max7219初始化子程序434.3 键盘扫描及按键识别子程序444.4

11、波形数据产生504.4.1 AD985O控制字的计算504.4.2 控制字转化子程序514.4.3 控制字传送子程序524.5 A/D转换子程序534.5八选一子程序(只用六选一)554.6 其它子程序564+1 结论574+2 经济分析与报告59致谢60参考文献61附录A 总程序62附录B 原理图原件清单731 绪论1.1 选题背景在电子技术领域中,经常要用一些信号作为测量基准信号或输入信号,也就是所谓的信号源。信号源有很多种,包括正弦波信号源、函数发生器、脉冲发生器、扫描发生器、任意波形发生器、合成信号源等。作为电子系统必不可少的组成部分的信号源,在很大程度上决定了系统的性能,因而常称之为

12、电子系统的“心脏”。随着电子技术的发展,对信号源的要求越来越高,要求其输出频率高达微波频段甚至更高,频率范围从零Hz到几GHz频率分辨率达到mHz甚至更小,相应频点数更多;频率转换时间达到ns级:频谱纯度越来越高。同时,对频率合成器功耗、体积、重量等也有更高的要求。而传统的信号源采用振荡器,只能产生少数几种波形,自动化程度较低,且仪器体积大、灵活性与准确度差。而现在要求信号源能产生波形的种类多、频率高,而且还要体积小、可靠性高、操作灵活、使用方便及可由计算机控制。所以要实现高性能的信号源,必须在技术手段上有新的突破。当今高性能的信号源均通过频率合成技术来实现,随着计算机、数字集成电路和微电子技

13、术的发展,频率合成技术有了新的突破,直接数字频率合成技术(Direct Digital Synthesis DDS),它是将先进的数字信号处理理论与方法引入到信号合成领域的一项新技术,它的出现为进一步提高信号的频率稳定度提供了新的解决方法。同时,随着微电子技术的迅速发展,尤其是单片机技术的发展,智能仪器也有了新的进展,功能更加完善,性能也更加可靠,智能程度也不断提高,直接数字式频率合成技术的出现导致了频率合成领域的一次重大革命。直接数字频率合成器问世之初,构成DDS元器件的速度的限制和数字化引起的噪声这两个主要缺点阻碍了DDS的发展与实际应用。近几年超高速数字电路的发展以及对DDS的深入研究,

14、DDS的最高工作频率以及噪声性能已接近并达到锁相频率合成器相当的水平。随着微电子技术的迅速发展,直接数字频率合成器得到了飞速的发展,它以有别于其他频率合成方法的优越性能和特点成为现代频率合成技术中的佼佼者。具体体现在相对带宽宽、频率转换时间短、频率分辨率高、输出相位连续、可产生宽带正交信号及其他多种调制信号、可编程和全数字化、控制灵活方便等方面,并具有极高的性价比。现已广泛应用于通讯、导航、雷达、遥控遥测、电子对抗以及现代化的仪器仪表工业等领域。信号发生器是一种常用的信号源,广泛应用于电子测量、自动控制和工程设计等领域。随着电子技术的发展,对信号源频率的稳定度、准确度以及频谱纯度的要求越来越高

15、。DDS(直接数字合成)技术是从相位概念出发直接合成所需波形的一种新的频率合成技术,与传统的模拟式波形产生法相比,它具有相位变换连续、频率转换速度快、分辨率高、稳定度高、相位噪声小、便于集成、易于调整及控制灵活等多种优点。基于DDS技术的信号发生器是一类新型信号源,它已成为众多电子系统中不可缺少的组成部分。1.2 DDS技术的研究现状与发展趋势自20世纪80年代以来各国都在研制DDS产品,并广泛地应用于各个领域。其中以ADI公司的产品比较有代表性,如AD7008、AD9850、AD9851、AD9852、AD9858等。其系统时钟频率从30MHz到300MHz不等,其中的AD9858系统时钟更

16、是达到了1GHz,这些芯片还具有调制功能。如AD7008可以产生正交调制信号,而AD9852也可以产生FSK(Frequency Shift Key)、PSK(Phase Shift Key)、线性调频以及幅度调制的信号。这些芯片集成度高,内部都集成了D/A转换器,精度最高可达12bit。同时都采用了一些优化设计来提高性能,如这些芯片中大多采用了流水技术,通过流水技术的使用,提高了相位累加器的工作频率,而使得DDS芯片的输出频率可以进一步提高。通过运用流水技术在保证相位累加器工作频的前提下,相位累加器的字长可以设计得更长,如AD9852的相位累加器达到了48bit。这样输出信号的频率分辨率大大

17、提高了。运用DDS技术生产的DDS任意波型信号发生器是较新的一类信号源并且已经广泛投入使用。它不仅能产生传统函数信号发生器能产生的正弦波、方波、三角波、锯齿波,还可以产生任意编辑的波形。由于DDS的自身特点,还可以很容易的产生一些数字调制信号,如FSK、PSK等。一些高端的信号发生器甚至可以产生通讯信号。同时输出波形的频率分辨率、频率精度等指标也有很大的提高。如HP公司的 HP33120可以产生10mHz一15MHz的正弦波和方波。同时还可以产生10mHz一5MHz的任意波形,任意波形深度 16K点。采样率40M,还具备了调制功能,可以产生AM、FM、FSK、碎发、扫频等信号。HP公司的HP3

18、3250可以产生1uHz一80MHz的正弦波和方波,产生1uHz一25MHz的任意波形,任意波形深度64K点,采样率200M,同时也具备了AM、FM、FSK、碎发、扫频等功能。BKPRECISION公司的4070A型函数级任意波形发生器正弦波和方波输出频率DC一21.5MHz,频率分辨率10mHz。同时还具有AM、FM、PM、SSB、BPSK、FSK、碎发、 DTMF Generation和 DTMF Detection的功能,并且具有与PC机良好的接口,可以通过window界面的程序进行任意波形的编辑。除了在仪器中的应用外,DDS在通信系统和雷达系统中也有很重要的用途。通过DDS可以比较容易

19、的产生一些通信中常用的调制信号如:频移键控 (FSK)、一进制相移键控 (BPSK)和正交相移键控(QPSK)。DDS可以产生两路相位严格正交的信号在正交调制和解中的到广泛应用,是一种很好的本振源。但是DDS自身的特点决定了它存在着以下两个比较明显的缺点:一是输出信号的杂散比较大,二是输出信号的带宽受到限制。当然随着技术的发展,这些问题正在逐步得到解决,如通过增长波形ROM的长度减小相位截断误差;通过增加波形ROM的字长和D/A转换器的精度减小D/A量化误差;在比较新的DDS芯片中普遍都采用了12bit的D/A转换器。当然一味靠增加波形ROM的长度和字长的方法来减小杂散,对性能的提高总是有限的

20、。国内外学者在对DDS输出的频谱做了大量的分析以后,总结出了误差的频域分布规律建立了误差模型,在分析DDS频谱特性的基础上又提出了一些降低杂散功率的方法:可以通过采样的方法降低带内误差功率,可以用随机抖动法提高无杂散动态范围(在D/A转换器的低位上加扰打破DDS输出的周期性,从而把周期性的杂散分量打散使之均匀化)。在本文的第六章也将提到一种抑制DDS杂散性的方法,即将DDS与锁相环 (Phase一Locked Loop, PLL)技术相结合。虽然,DDS技术的出现使得信号源的性能指标得到了飞跃,各种新的产品不断推出,但是,目前市场上的信号源产品大多是通用型的,一般只能产生正弦波等标准波形。而不

21、同领域需要不同的信号源,例如在雷达、通信等领域,就需要短波信号源,要求其具有频移键控、调频、调相等调制功能。所以,在很多应用中,都需要自己设计不同功能的信号源。1.3 选题研究的目的及意义信号源作为一种信号产生的装置己经越来越受到人们的重视,它可以根据用户的要求,产生自己所需要的波形,具有重复性好,实时性强等优点,己经逐步取代了传统的函数发生器。本课题的目的就是设计开发出一个能产生正弦波、方波、三角波等波形的信号源,信号源的频率准确度为10-4,频率稳定度为10-5,频率范围1Hz1MHz,波形失真小于1%,而直接数字频率合成技术是研制该系统所要解决的关键技术,因此对本文的研究不仅具有理论意义

22、而且具有实用价值。1.4 研究内容及目标l 电源电压 单相220V10%l 电源频率 50Hz2Hzl 输出频率 1Hz1MHzl 频率准确度: 10-4l 频率稳定度: 10-5l 输出电压 03Vl 输出功率 1Wl 输出波形 正弦波、方波、三角波(可以扩展编辑其他任意波形)波形失真 1%本文的主要工作体现在以下几点:(l)研究信号源设计的主要技术基础,分析模拟合成法和直接频率合成法等传统设计方法的局限性以及DDS技术在信号发生器中应用的优势。(2)阐述AD9850直接数字频率合成器芯片的基本原理和性能特点。给出了一种基于DDS芯片AD9850的信号源设计的总体方案,并设计开发了相应的硬件

23、系统。信号源的频率准确度为10-4,频率稳定度为10-5,频率范围1Hz1 MHz。在得到正弦信号波形的同时可以输出方波和三角波。(3)给出了系统的软件设计思想,并予以实现。用AT89C52的汇编语言编程实现将键盘输入频率/相位值转化为AD9850的频率/相位控制字,从而产生所需的波形。2 DDS信号源设计技术基础2.1 频率合成技术频率合成是指以一个或多个参考频率源为基准,在某一频段内,综合产生并输出多个工作频率点的过程。基于此原理制成的频率源为频率合成器,简称频综。频率合成技术是现代通讯电子系统实现高性能指标的关键技术之一,很多电子设备的功能实现都依赖于所用频率合成器的性能,因此人们常将频

24、率合成器喻为众多电子系统的“心脏”,而频率合成理论也因此在20世纪得到了飞跃的发展。2.1.1 频率合成技术指标: 频率合成技术有着诸多技术指标,这些技术指标决定了频率合成技术的特性及优缺点,下面介绍一些基本的频率合成技术的技术指标。(l)频率范围。频率合成后生成频率的波动范围,由最小合成频率fmin。和最大合成频率fmax、决定,合成的频率介于两者之间。也常用相对带宽丫来衡量频率范围。 公式(2-1)(2)分辨率。频率合成后两相邻相位点之间的间隔,不同要求的频率合成对分辨率的要求差别很大。(3)切换时间。从发出频率切换的指令开始,到频率切换完成,并进入允许的相位误差范围所需要的时间。它与频率

25、合成的方式密切相关。(4) 频率准确度。指振荡器实际的频率值对其标称值的相对偏离,即。(5)频率稳定度。指在一定的时间间隔内,频率准确度的变化,分为长期频率稳定度、短期频率稳定度。(6)长期频率稳定度。频率源在规定的外界条件下,在一定的时间内工作频率的相对变化,它与所选用的参考源的长期频率稳定度相同。(7)短期频率稳定度。主要指各种随机噪声造成的瞬时频率或相位起伏,即相位噪声,它可以从频域(单边带相位噪声谱密度)和时域(阿仑方差)来表征。2.2 直接数字频率合成原理2.2.1 DDS结构 DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频

26、率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。直接数字频率合成器(Direct Digital Synthesizer)是从相位概念出发直接合成所需波形的一种频率合成技术。一个直接数字频率合成器由相位累加器、加法器、波形存储ROM、D/A转换器和低通滤波器(LPF)构成。DDS的原理框图如下图2-1所示:累加器加法器加法器ROMD/ALPF参考信号fc频率控制字K相位控制字P波形控制字WN位比较器图2-1 DDS原理框图其中K为频率控制字、P为相位控制字、W为波形控制字、为参考时钟频率,N为相位累加器的字长

27、,D为ROM数据位及D/A转换器的字长。相位累加器在时钟的控制下以步长K作累加,输出的N位二进制码与相位控制字P、波形控制字W相加后作为波形ROM的地址,对波形ROM进行寻址,波形ROM输出D位的幅度码经D/A转换器变成阶梯波,再经过低通滤波器平滑后就可以得到合成的信号波形。合成的信号波形形状取决于波形ROM中存放的幅度码,因此用DDS可以产生任意波形。这里我们用DDS实现正弦波的合成作为说明介绍。1、频率预置与调节电路K被称为频率控制字,也叫相位增量。DDS方程为:,为输出频率,为时钟频率。当K=1时,DDS输出最低频率(也即频率分辨率),为,而DDS的最大输出频率由Nyquist采样定理决

28、定,即,也就是说K的最大值为因此,只要N足够大,DDS可以得到很细的频率间隔。要改变DDS的输出频率,只要改变控制字K即可。2、累加器寄存器频率控制字Kfc相位量化序列图2-2 累加器框图相位累加器由N位加法器与N位寄存器级联构成。每来一个时钟脉冲fc,加法器将频率控制字K与寄存器输出的累加相位数据相加,再把相加后的结果送至寄存器的数据输入端。寄存器将加法器在上一个时钟作用下继续与频率控制字进行相加。这样,相位累加器在时钟的作用下,进行相位累加。当相位累加器累加满时就会产生一次溢出,完成一个周期性的动作。3、控制相位的加法器通过改变相位控制字P可以控制输出信号的相位参数。令相位加法器的字长为N

29、,当相位控制字由0跃变到P(P0)时,波形存储器的输入为相位累加器的输出与相位控制字P之和,因而其输出的幅度编码相位会增加,从而使最后输出的信号产生相移。4、控制波形的加法器通过改变波形控制字W可以控制输出信号的波形。由于波形存储器中的不同波形是分块存储的,所以当波形控制字改变时,波形存储器的输入为改变相位后的地址与波形控制字W(波形地址)之和,从而使最后输出的信号产和相移。5、波形存储器用相位累加器输出的数据作为波形存储器的取样地址,进行波形的相位一幅值转换,即可在给定的时间上确定输出的波形的抽样幅值。N位的寻址ROM相当于把0360的正弦信号离散成具有个采样值的序列,若波形ROM有D位数据

30、位,则个样值的幅值D位二进制数值固化在ROM中,按照地址的不同可以输出相应相位的正弦信号的幅值。相位幅度变换原理图如下图所示:ROM(波形储存器)相位量化序列地址波形幅度量化序列(数据)图2-3 相位幅度变换原理图6、D/A转换器D/A转换器的作用是把合成的正弦波数字量转换成模拟量。正弦幅度量化序列经D/A转换后变成了包络为正弦波的阶梯波S(t)。需要注意的是,频率合成器对D/A转换器的分辨率有一定的要求,D/A转换器的分辨率越高,合成的正弦波台阶数就越多,输出的波形的精度也就越高。7、低通滤波器对D/A输出的阶梯波进行频谱分析,可知中除主频外,还存在分布在,等等的两边处的非谐波分量,幅值包络

31、为辛格函数。因此,为了取出主频必须在D/A转换器的输出端接入截止频率为的低通滤波器。2.2.2 DDS的工作原理DDS的基本原理是利用采样定理,通过查表法产生波形。每来一个时钟脉冲关,加法器将频率控制字K与累加寄存器输出的累加相位数据相加,把相加后的结果送至累加寄存器的数据输入端。累加寄存器将加法器在上一个时钟脉冲作用后所产生的新相位数据反馈到加法器的输入端,以使加法器在下一个时钟脉冲的作用卜继续与频率控制字相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位累加。由此可以看出,相位累加器在每一个时钟脉冲输入时,把频率控制字累加一次,相位累加器输出的数据就是合成信号的相位,相位累加

32、器的溢出频率就是DDS输出的信号频率。用相位累加器输出的数据作为波形存储器(ROM)的相位取样地址,这样就可把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出,完成相位到幅值转换。波形存储器的输出送到D/A转换器,D/A转换器将数字量形式的波形幅值转换成所要求合成频率的模拟信号。低通滤波器用于滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。2.2.3 DDS数学原理设有一频率为的余弦信号: 公式(2-2)现在以采样频率对进行采样,得到的离散序列为: 公式(2-3)其中为采样周期。对应的相位序列为 公式(2-4)从上式可以看出相位序列呈线性,即相邻的样值之间的相位增量是一个常数,而且

33、这个常数仅与信号的频率有关,相位增量为: 公式(2-5)因为信号频率与采样频率之间有以下关系: 公式(2-6)其中与为两个正整数,所以相位的增量也可以完成: 公式(2-7)由上式可知,若将的相位均匀的分为等份,那么频率为的余弦信号以频率采样后,它的量化序列的样品之间的量化相位增量为一个不变值。根据上述原理可以构造一个不变量为量化相位增量的量化序列: 公式(2-8) 然后完成从到另一个序列的映射,由构造序列: 公式(2-9)公式(2-1)是连续信号经采样频率为采样后的离散时间序列,根据采样定理,当时,经过低通滤波器平滑后,可唯一恢复出。 可见,通过上述变换不变量将唯一的确定一个单频率模拟余弦信号

34、: 公式(2-10)该信号的频率为: 公式(211)公式(22)就是直接数字频率合成(DDS)的方程式,在实际的DDS中,一般取,于是DDS方程就可以写成: 公式(212)根据公式(23)可知,要得到不同的频率只要通过改变的具体数值就可以了,而且还可以得到DDS的最小频率分辨率(最小频率间隔)为当时的输出频率: 公式(2-13)可见当参考频率始终一定是,其分辨率由相位累加器的位数决定,若取,则,即分辨率可以达到,这也是最低的合成频率,输出频率的高精度DDS的一大优点。由奈奎斯特准则可知,允许输出的最高频率,即,但实际上在应用中受到低通滤波器的限制,通常,以便于滤波镜像频率,一般: 公式(2-1

35、4)由此可见DDS的工作频率带较宽,可以合成从直流到的频率信号,同时它的输出相位连续,频率稳定度高。2.3 DDS性能特点DDS在相对带宽、频率转换时间、高分辨力、相位连续性、正交输出以及集成化等一系列性能指标方面远远超过了传统频率合成技术所能达到的水平,为系统提供了优于模拟信号源的性能。(l)输出颂率相对带宽较宽输出频率带宽为(理论值)。但考虑到低通滤波器的特性和设计难度以及对输出信号杂散的抑制,实际的输出频率带宽仍能达到40%关fc。(2)频率转换时间短DDS足,个开环系统,无任何反馈环节,这种结构使得DDS的频率转换时间极短。事实上,在DDS的频率控制字改变之后,需经过一个时钟周期之后按

36、照新的相位增量累加,才能实现频率的转换。因此,频率转换的时间等于频率控制字的传输时间,也就是一个时钟周期的时间。时钟频率越高,转换时间越短。DDS频率转换时间可达纳秒数量级,比使用其他的频率合成方法都要短数个数量级。(3)频率分辨率极高若时钟的频率不变,DDS的频率分辨率就由相位累加器的位数N决定。只要增加相位累加器的位数N即可获得任意小的频率分辨率。目前,大多数DDS的分辨率在1Hz数量级,许多小于lmHz甚至更小。(4)相位变化连续改变DDS输出频率,实际上改变的每一个时钟周期的相位增量,相位函数的曲线是连续的,只是在改变频率的瞬间其频率发生了突变,因而保持了信号相位的连续性。(5)输出波

37、形的灵活性只要在DDS内部加上相应控制如调频控制FM、调相控制PM和调幅控制AM,即可以方便灵活地实现调频、调相和调幅功能,产生FSK、PSK、ASK和MSK等信号。另外,只要在DDS的波形存储器存放不同波形数据,就可以实现各种波形输出,如三角波、锯齿波和矩形波甚至是任意的波形。当DDS的波形存储器分别存放正弦和余弦函数表时,即可得到正交的两路输出。(6)其他优点由于DDS中几乎所有部件都属于数字电路,易于集成,功耗低、体积小、重量轻、可靠性高,且易于程控,使用相当灵活,因此性价比高。2.4 DDS芯片AD98502.4.1 AD9850简介随着数字技术的飞速发展,用数字控制方法从一个参考频率

38、源产生多种频率的技术,即直接数字频率合成(DDS)技术异军突起。美国AD公司推出的高集成度频率合成器AD9850便是采用DDS技术的典型产品之一。AD9850采用先进的CMOS工艺,其功耗在3.3V供电时仅为155mW,扩展工业级温度范围为4080,采用28脚SSOP表面封装形式。AD9850的引脚排列如图2-4所示,图2-5为其组成框图。中层虚线内是一个完整的可编程DDS系统,外层虚线内包含了AD9850的主要组成部分。D0 D4 D1 D5D2 D6D3 LSB MSB D7DGND DGNDDVDD DVDDW_CLK RESETFQ_UD IOUTCLKIN IOUTB AGND AG

39、ND AVDD AVDD RSET DACBL QOUTB VINPQOUT VINN图2-4 AD9850管脚排列图管脚功能说明:CLKIN:参考时钟输入,此时钟输入可以是连续的CMOS序列,也可以是经1/2电源电压偏置的模拟正弦波输入。RSET: 是DAC外部电阻RSET连接处,此电阻设置了DAC输出电流的幅值,一般情况下, ,与的关系式为。AGND:模拟电路地(模拟电路有DAC和比较器)。DGND:数字电路地。DVDD:数字电路电源。AVDD:模拟电路电源。W_CLK:控制字输入时钟,在此时钟用来并行或串行输入频率或相位控制字。FQ_UD:频率更新时钟。在此时钟的上升沿,DDS将刷新已输

40、入到数据输入寄存器中的频率(或相位)字,使数据输入寄存器归零。D0D7:8bits数据输入。这是一个用于重复输入32bits频率和8bits相位/频率控制字的8bits数据端口,D7是高位,D0是最低位(25脚),它还是40bits串行数据输入端口。RESRT:重新设置。这是整片重新设置功能,当此脚置高电平时,它清除(除输入寄存器)的所有寄存器,DAC的输出在一个追加的时钟T后变成COSO。IOUT:DAC的模拟电流输出。IOUTB:DAC的补充模拟电流输出。DACBL:DAC基准线,这是DAC基准电压参考。VIN:不转换电平输入,这是比较器的同相输入。VINN:转换电平输入,这是比较器的反相

41、输入。QOUT:输出为真,这是比较器的真正输出。QOUTB:输出补充,这是比较器的补充输出。图2-5 AD9850组成框图AD9850内含可编程DDS系统和高速比较器,能实现全数字编程控制的频率合成。可编程DDS系统的核心是相位累加器,它由一个加法器和一个N位相位寄存器组成, N一般为2432。每来一个外部参考时钟,相位寄存器便以步长M递加。相位寄存器的输出与相位控制字相加后可输入到正弦查询表地址上。正弦查询表包含一个正弦波周期的数字幅度信息,每一个地址对应正弦波中范围的一个相位点。查询表把输入地址的相位信息映射成正弦波幅度信号,然后驱动DAC以输出模拟量。相位寄存器每过个外部参考时钟后返回到

42、初始状态一次,相应地正弦查询表每经过一个循环也回到初始位置,从而使整个DDS系统输出一个正弦波。输出的正弦波周期,频率f,、分别为外部参考时钟的周期和频率。AD9850采用32位的相位累加器将信号截断成14位输入到正弦查询表,查询表的输出再被截断成10位后输入到DAC, DAC再输出两个互补的电流8。DAC满量程输出电流通过一个外接电阻RSET调节,调节关系为: 公式(2-2) 的典型值是3.9k。将DAC的输出经低通滤波后接到AD9850内部的高速比较器上即可直接输出一个抖动很小的方波。其内部结构如图2-6所示。图2-6 AD9850内部结构AD9850在接上精密时钟源和写入频率相位控制字之

43、后就可产生一个频率和相位都可编程控制的模拟正弦波输出,此正弦波可直接用作频率信号源或经内部的高速比较器转换为方波输出。在125MHz的时钟下, 32位的频率控制字可使AD9850的输出频率分辨率达0.0291Hz;并具有5位相位控制位,而且允许相位按增量180、90、45、22.5、11.25或这些值的组合进行调整。2.4.2 AD9850的控制字与控制时序AD9850有40位控制字, 32位用于频率控制,5位用于相位控制, 1位用于电源休眠(Power down)控制, 2位用于选择工作方式。这40位控制字可通过并行方式或串行方式输入到AD9850,图2-7是控制字并行输入的控制时序图,在并

44、行装入方式中,通过8位总线D0D7将可数据输入到寄存器,在重复5次之后再在FQ-UD上升沿把40位数据从输入寄存器装入到频率/相位数据寄存器(更新DDS输出频率和相位),同时把地址指针复位到第一个输入寄存器。接着在W-CLK的上升沿装入8位数据,并把指针指向下一个输入寄存器,连续5个W-CLK上升沿后, W-CLK的边沿就不再起作用,直到复位信号或FQ-UD上升沿把地址指针复位到第一个寄存器。图2-7 控制字并行输入时序图2-8 控制字串行输入的时序图在串行输入方式,W-CLK上升沿把25引脚的一位数据串行移入,当移动40位后,用一个FQ_UD脉冲即可更新输出频率和相位。图2-8是相应的控制字串行输入的控制时序图。AD9850的复位(RESET)信号为高电平有效,且脉冲宽度不小于5个参考时钟周期。AD9850

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号