32位高速计数器的设计毕业论文.doc

上传人:laozhun 文档编号:4018475 上传时间:2023-04-01 格式:DOC 页数:37 大小:253.50KB
返回 下载 相关 举报
32位高速计数器的设计毕业论文.doc_第1页
第1页 / 共37页
32位高速计数器的设计毕业论文.doc_第2页
第2页 / 共37页
32位高速计数器的设计毕业论文.doc_第3页
第3页 / 共37页
32位高速计数器的设计毕业论文.doc_第4页
第4页 / 共37页
32位高速计数器的设计毕业论文.doc_第5页
第5页 / 共37页
点击查看更多>>
资源描述

《32位高速计数器的设计毕业论文.doc》由会员分享,可在线阅读,更多相关《32位高速计数器的设计毕业论文.doc(37页珍藏版)》请在三一办公上搜索。

1、 毕业设计报告(论文)报告(论文)题目:32位高速计数器的设计 作者所在系部: 电子工程系 作者所在专业: 电子工艺与管理 作者所在班级: 作 者 姓 名 : 作 者 学 号 : 指导教师姓名: 完 成 时 间 : 2011年6月10日 毕业设计(论文)任务书姓 名: 专 业:电子工艺与管理班 级: 253学号: 指导教师: 职 称: 完成时间:2010年6月10日毕业设计(论文)题目:32位高速计数器的设计设计目标:了解一些计数器的应用及其性能特点;掌握32位高速计数器的芯片的设计过程技术要求:1 当一个脉冲到来时32位计数器显示1;相邻特性曲线的间隔相同。2 脉冲不断地到来32位计数器不断

2、地加13 当32位计数器加到F时,向高位进1;4. 32位计数器的范围为0FFFFFFFF;所需仪器设备:计算机、MAXPLUS2软件、EDA实验开发系统成果验收形式:论文参考文献:可编程器件EDA技术与实践相关文献时间安排15周-6周立题论证39周-13周仿真调试27周-8周方案设计414周-16周成果验收指导教师: 教研室主任: 系主任: 摘 要论文的研究工作是以32位高速计数器程序设计为题展开的,通过熟练运用EDA技术设计32位高速计数器程序,并通过仿真检验程序的正确性。而且详细介绍现阶段的一些技术器及其参数、性能特点,了解现阶段计数器的发展水平,通过这些计数器在现实生活的各个领域扮演的

3、角色,体会计数器在生活中的重要性。关键词 计数器 DEA 仿真检验目 录第1章 计数器简介11.1 计数器1第2章 计数器的应用及其性能特点32.1 零点袋装水泥计数器32.2 ZG30菌落计数器32.3 闪烁计数器42.4 JSYF系列放电计数器42.5 实时碳计数器52.6 ZT-JS01A型智能产品计数器52.7 零件计数器62.8 颗粒计数器62.9 频率计数器62.10 红外人流计数器72.11 盖革计数器72.12 免疫计数器72.13 显示条形码计数器82.14 尘埃计数器82.15 细胞计数器82.16 智能计数器92.17 空气离子浓度计算器92.18 LoadRunner监

4、视的性能计数器92.19小结12第3章 32位高速计数器133.1 32位计数器程序133.1.1 顶层文件设计133.1.2 cnt_ffffffff(32位计数器)143.1.3 sel(选择器)153.1.4 deled(译码器)163.2 仿真图183.2.1 顶层仿真图183.2.2 32位计数器(cnt_ffffffff)仿真图193.2.3 sel(选择器)仿真图213.2.4 译码器(deled)仿真图22第4章 结论23致谢24参考文献25附录26 第1章 计数器简介1.1 计数器计数器是一种具有多种测量功能、多种用途的电子计数器。它可以测量频率、周期、时间间隔、频率比、累加

5、计数、计时等;配上相应的插件,还可以测量相位、电压等。一般我们把凡具有测频和测周两种以上功能的计数器都归类为通用计数器。计数器的主要性能:1. 测试功能电子计数器所具备的测试功能一般包括测量频率、周期、 频率比、时间间隔、累加计数和自校等。2. 测量范围电子计数器的有效测量范围是相对于测量功能而言的, 不同的测量功能其测量范围的含义也不同。如测量频率时是指频率的上、下限;测量周期时是指周期时间单位)的最大、最小值。3. 输入特性一般情况下,当仪器有23个输入通道时,需分别给出各个通道的特性,主要有:3.1 输入灵敏度:指仪器正常工作所需输入的最小电压。3.2 输入耦合方式:主要有AC交流)耦合

6、和DC直流)耦合两种。AC耦合时,被测信号经隔直电容输入;DC耦合时, 被测信号直接输入,在低频及脉冲信号输入时宜采用这种耦合3.3 输入阻抗:包括输入电阻和输入电容,并有高阻抗例如1 M/25 pF)和低阻抗例如50 )之分。前者多用于频率不太高的场合,以减小对信号源的负载影响; 后者多用于频率较高的场合,以满足匹配要求。3.4 最大输入电压:允许的最大输入电压。 超过最大输入电压后,仪器不能保证正常工作, 甚至会被损坏。4. 测量准确度测量准确度常用测量误差来表示,主要由时基误差和计数误差决定。时基误差由晶体振荡器的稳定度确定,电子计数器通常给出晶体振荡器的标准频率及其频率稳定度;计数误差

7、主要指量化误差。5. 闸门时间和时标由仪器内部标准时间信号源提供的标准时间信号包括闸门时间信号和时标信号,可以有多种选择。6. 输出7. 这里指的是仪器可输出的标准时间频率)信号的种类、 输出数据的编码方式及输出电平的高低等。计数器的构成:1. A、 B输入通道输入通道的作用是将被测信号进行放大、整形,使其变换为标准脉冲。输入通道部分包括A、B两个通道,它们均由衰减器、 放大器和整形电路等组成。凡是需要计数的外加信号(例如测频信号),均由A输入通道输入,经过A通道适当的衰减、放大整形之后,变成符合主门要求的脉冲信号。而B输入通道的输出与一个门控双稳相连,如果需要测量周期,则被测信号就要经过B输

8、入通道输入,作为门控双稳的触发信号。门又称闸门,它是用于实现量化的比较电路,它可以控制计数脉冲信号能否进入计数器。主门电路是一个双输入端逻辑与门。它的一个输入端接受来自控制单元中门控双稳态触发器的门控信号, 另一个输入端则接受计数(脉冲)信号。在门控信号作用有效期间,允许计数(脉冲)通过主门进入计数器计数。2. 计数、 显示单元计数与显示电路是用于对来自主门的脉冲信号进行计数, 并将计数的结果以数字的形式显示出来。为了便于读数,计数器通常采用十进制计数电路。 带有微处理器的仪器也可用二进制计数器计数,然后转换成十进制并译码后再进入显示器。3. 时基单元时基电路主要由晶体振荡器、分频及倍频器组成

9、。时基电路主要用于产生各种标准时间信号。标准时间信号有两类,一类时间较长的称为闸门(时间)信号,通常根据分频级数的不同有多种选择; 另一类时间较短的称为时标信号。时标信号可以是单一的, 也可以有多种选择。4. 控制单元控制电路的作用是产生门控信号(Q)、寄存信号(M)和复零信号(R)三种控制信号,使仪器的各部分电路按照准备测量显示的流程有条不紊地自动进行测量工作。控制单元中包括前述的门控双稳态电路,它输出的门控信号用于控制主门的开闭,在触发脉冲作用下双稳态电路发生翻转。通常以一个输入脉冲开启主门,另一路输入脉冲信号使门控双稳复原,关闭主门。计数器的误差来源:1. 量化误差;2. 触发误差;3.

10、 准频率误差1。第2章 计数器的应用及其性能特点2.1 零点袋装水泥计数器零点袋装水泥计数器是根据水泥厂恶劣环境研发及生产袋装水泥计数器。水泥包装车间是企业与客户对接的窗口,精确的发货数据有利于销售管理和企业品牌、形象的提升,传统的计数方式已经不再适合水泥高速生产的需求。由于水泥在皮带传输过程中偶尔会出现连包,小叠包的现象,传统的计数器已无法满足水泥厂的需求,针对此问题,研制了零点袋装水泥计数器。零点袋装水泥计数器性能:1. 集中管理,易于使用、便于监控,更人性化2. 水泥厂专用,计数精确、快速,经久耐用3.可单包计数、连包计数、批量计数4.采用“双通道带速补偿法”可智能分辨连包5.采用“不规

11、则水泥连包补偿法”可智能分辨小叠包6.同装车机连锁,单车到包后,装车机/包装机自动停机7.光电信号隔离,抗干扰性强8.断电存储功能,防止数据丢失9.美观大方,可外接多个大屏幕显示屏10.单包计数:可自动吸收水泥袋上和皮带上的干扰脉冲达到准确计数。11.连包计数:设定单包的正常参数,连包时可根据单包的正常参数智能识别出连包数。12.批量计数:可设定并显示单包数(0-60000),达到设定值时,计数器输出闪烁报警信号“AL”并输出一组开关控制信号(5A)。13.设定值到达时计数器自动清零或手动清零:可按需要输入密码设定。14.批量设定值到达提前报警:提前包数可按需要输入密码设定。15.总数累计:可

12、查看计数总数(0-9999999)(输入密码可清零)。16.故障报警:当计数器检测到感应头状态异常,或连包超过10包以上输出一组继电器开关信号报警(5A),该开关可接报警铃或停皮带。17.自动控制:继电器开关信号可直接与包装线的二次线路实现自动控制。(如:控制包装机停止,皮带停止,推包机开合,装车机停止,连接报警铃等)2。2.2 ZG30菌落计数器ZG30菌落计数器使用方便,对用户来说最大的好处就是:再也不会认为计算微生物的数目是一件非常费力,危险的工作。原因在于:该计数器用来放置培养皿的表面是个压敏元件,当用特制的笔在表面作标记时将自动启动计数功能,用户可调节表面对压力的灵敏度以满足不同用户

13、的操作要求。智能计数技术BZG30具有回声检测信号,可以自动补偿不同培养皿重量的影响,压力传感器遍布整个表面,且每点的灵敏度保持一致;仪器另有零点电位保护功能,可防止交流电短路的影响。2.3 闪烁计数器 利用射线引起闪烁体的发光而进行记录的辐射探测器 。1947年由J.W. 科尔特曼和 H.P.卡尔曼所发明 。它由闪烁体、光电倍增管(见光电管)和电子仪器等单元组成。射线同闪烁体相互作用,使其中的原子、分子电离或激发,被激发的原子、分子退激时发出微弱荧光(见固体发光),荧光被收集到光电倍增管,倍增的电子流形成电压脉冲,由电子仪器放大分析和记录 。可用的闪烁体种类很多 ,用得较多的有NaI(加微量

14、Tl)、CSI(加微量Tl)、ZnS(加微量Ag )等无机盐晶体和蒽、茋、对联三苯等有机晶体,也有用液体、塑料或气体的闪烁体。闪烁计数器的优点是效率高,有很好的时间分辨率和空间分辨率,时间分辨率达10-9秒 ,空间分辨率达毫米量级。它不仅能探测各种带电粒子,还能探测各种不带电的核辐射;不仅能探测核辐射是否存在,还能鉴别它们的性质和种类;不但能计数,还能根据脉冲幅度确定辐射粒子的能量。在核物理和粒子物理实验中应用十分广泛。2.4 JSYF系列放电计数器 1. 用途、特点放电计数器是串联工作在避雷器下面用来记录避雷器动作次数的一种装置。JSYF9系列氧化锌放电计数器适用于220KV及以下电压等级的

15、各型氧化锌避雷器配套,使用的环境条件与相连接的避雷器相同。氧化锌放电计数器具有以下特点和用途:1. JSYF9型、JSYF9-S型放电计数器系按氧化锌避雷器的动作特性设计生产的,采用大直径氧化锌阀片,具有通流容量大、残压低、适应电压等级范围宽、动作计数准确可靠,下限动作电流灵敏度高。2. JSYF系列采用宽大视窗,观察记录数米以外一目了然。JSYF9型采用单指针十位数循环计数指示。专利产品JSYF9-S型采用双指针电磁计数装置做计数指示,具备有计数进位功能,可连续计数100次后再进入下一循环计数周期,适合于避雷器动作频繁地区和无人值班场所使用。3. JSYF9型、JSYF9-S型放电计数器采用

16、全不锈钢外壳、接线端子、安装件,耐腐蚀剂、拆装方便,保证较长使用年限。本公司生产的JSYF系列氧化锌放电计数器适用于以下的环境条件:1. 海拔高度不超过2000米;2. 环境温度为:-40+50;3. 不适用于严重腐蚀金属和绝缘件的气休环境和严重污秽、剧烈振动的地方。2. 结构和性能放电计数器主要由不锈钢外壳、绝缘瓷套、密封垫及氧化锌阀片、整流器、单/双指针电磁计数器等组成3。2.5 实时碳计数器实时碳计数器,这是一个近70英尺高的数字公告牌,用以显示大气中温室气体的累计总量。计数器位于纽约市中心第33大街和第7大道交界处,麦迪逊广场花园和宾夕法尼亚火车站之间,目前已开始运行。碳计数器由德意志

17、银行气候投资顾问团队(DBCCA)、德意志银行资产管理部的机构气候变化投资与研究部门赞助,旨在提升全球的气候变化意识,加强环境教育。“信息催生行动”,这一理念是碳计数器诞生的原因。碳计数器上的“数字”以麻省理工学院(MIT)的科学家们开发的测量手段为依据,涵盖了京都议定书和蒙特利尔议定书涉及的全部温室气体(24种气体,不包括臭氧和空气悬浮物)。当前,碳计数器所显示的大气中温室气体数量为3.64万亿公吨,以每月约20亿公吨的速度增加。根据科学家们不断推演的共识,如果这一趋势持续下去,一系列宏观气候变化将越来越有可能开始一轮自我持续的、迅速的气候变化周期。“大气中的二氧化碳含量已达到80万年来的最

18、高点,”帕克称。“我们看不到温室气体,所以人们很容易忘记温室气体在迅速累积这一事实。控制好全球的气体排放是个巨大的任务。让公众随时了解关注这一数据能推动各国政府以及市场力量更快地转向低碳经济。实时碳计数器数据显示,我们必须立刻缓解碳排放,否则气温将不断升高,对经济和社会造成更严重破坏4。”2.6 ZT-JS01A型智能产品计数器ZT-JS01A型智能产品计数器是一款适用于用传送带运输的袋装产品计数的高科技仪器,集光电技术、单片机技术、HMI技术和通讯技术于一体的智能型设备。它独有的智能叠包、连包判断补偿算法,有效地解决了传统脉冲计数装置的误差大、自动化程度低等问题。ZT-JS01A型智能产品计

19、数器集成度高、安装简便、性能稳定、计数精度高,广泛用于码头、大型仓储、水泥厂、化肥厂等批量装车、装船(转运)场合,大大提高了转运作业管理水平。性能特点:1. 有效解决叠包、连包计数误差大的问题;2. 自动识别并屏蔽干扰信号(如皮带上过的石头、手套、飞蛾等),保证计数精确;3. 独有的光电传感器防粉尘专利技术,真正解决了因粉尘多而影响计数问题;4. 计数数据可以轻松嵌入视频监控系统;实现计数、监控一体化;5. 自动适应不同规格的产品,无须设定;6. 安装简便,即安即用,上电自检,免调试;7. 内置蜂鸣器,计数过程有蜂鸣提示;8. 预警、联锁功能,或与传送带、包装机等联动;9. 带有RS485通讯

20、接口,可外接打印机、大屏幕显示屏、计算机等;10. 掉电保持,上电继续计数;11. 内置万年历,计数数据有日期标识;12. 自动保持历史计数数据,可随时查询;13. 具有IC卡发货功能 ;14. 计数器主机本地有液晶显示。2.7 零件计数器很多生产零部件的一些厂家,一般都进行计件生产,大量产品的计件是非常耗时的一件工作,但是有了零件计数器,它就方便多了,省去了大量的人力资源。与传统的生产方式相比,零件计数器的应用是一个很大的突破。随着零件计数器的应用,又涌现了一大批类似产品,像Smd零件计数器、盖革计数器、淘宝计数器、三角梨计数器、免费计数器、全自动零件计数器、零件散装计数器、网站计数器等等。

21、种类不多,功能相似,都不同程度上给工作带来了方便。相信以后的工业生产中,零件计数器一定会一直扮演着重要的角色5。2.8 颗粒计数器颗粒计数器是用来检测油液中各种微粒的尺寸和多少,主要应用于油液分析,颗粒已经形成了一门学问,广泛用于航空、航天、航海、电力、石油、化工、交通、港口、冶金、机械、汽车、制造等领域,对各类油液进行固体颗粒污染度检测。2.9频率计数器频率计数器功能是根据其应用来设计的。频率计数器最常见的应用是确定发射机和接收机的特性。发射机的频率必须进行检验和校准,才能符合有关规章制度的要求。频率计数器能对输出频率和一些关键的内部频率点(如本振)进行测量,查明无线电发射时候是否满足技术指

22、标。频率计数器的另一些应用包括计算机领域,在此领域中的数据通信、微处理器和显示器中都使用了高性能时钟。对性能要求不高的应用领域包括对机电产品进行测量。频率计数器的早期应用之一是作为信号发生器的一部分。在信号发生器信号输出之前,先通过频率计数器部件测量该信号,测量到的结果被转换为模拟信号用于反馈控制信号发生器的频率,直到达到所需要的数值,从而能得到稳定的信号输出。目前,很多信号发生器中都集成了频率计数器的简单功能。例如OI1842信号发生器也集成了测量范围为0.1Hz50MHz的频率计功能。 2.10 红外人流计数器Irisys生产的红外人流计数器根据人体热曲线,对人员流量、流向进行精确探测和分

23、析。服务于零售行业智能监测:精确人员统计。人流计数器能精确统计顾客的数量和流向,对零售业的管理起着至关重要的作用。各零售场所可以根据其分析结果进行正确的人流调配,也可以通过比较销售数据来对比监控各卖场分店的销售情况。该产品特别适用于以顾客为中心的行业,比如交通管理,各大休闲场所及酒店。以本公司先进的传感技术为依托,以智能人流监测系统为核心,Irisys生产的红外线人流计数器,已经服务了世界众多的大型超市、商场、剧院、博物馆和交通枢纽。做为一款优秀的监控产品,本产品采用非介入式的设计理念,产品使用不受环境温度和光线的影响,具有明显的技术优势6。2.11 盖革计数器 中文名称:盖革-米勒计数器 英

24、文名称:Geiger-M黮lercounter;GMcounter其他名称:盖革计数器定义:一种专门探测电离辐射(粒子、粒子、射线)强度的记数仪器。由充气的管或小室作探头,当向探头施加的电压达到一定范围时,射线在管内每电离产生一对离子,就能放大产生一个相同大小的电脉冲并被相连的电子装置所记录,由此测量得单位时间内的射线数。2.12 免疫计数器免疫计数器是放射免疫分析技术的基本工具,其中用于测量碘标记药盒的免疫计数器的应用最为广泛。经过几十年的发展,免疫计数器有了一系列成熟的产品。用计算机控制具有自动换样、数据在线自动处理能力的免疫计数器大量应用于临床。2.13 显示条形码计数器显示条形码计数器

25、是国家实用新型专利产品,具有普通电子计数器的常见功能,它是一种和条码技术兼容的实时显示条码计数器。该装置克服了现有技术的不足,提供了一种减少机械传动机构、以实时方式显示的条码计数器。它包括壳体、面框、二-十进制计数电路、译码电路、驱动电路及显示器件等,特别之处是显示器件由若干段可按条码字符信息规律显示的液晶模块紧密构成,接于驱动电路的输出端。该计数器有着下列明显优点:1.以液晶模块及数字电路替代传统的机械传动计数机构,结构简单、计数准确、可靠耐用。2.避免了机械传动的繁多构件,且实现了实时显示。3.电表、煤气表、水表等用上该条码计数器,由条码阅读器采集数据,大大减轻抄表的劳动强度和减少错漏的可

26、能性,提高了工作效率。4.数据处理快捷,实现无纸抄表、数据储存处理。用条码阅读器采集数据后暂时储存在条码盘点机里,然后可以把盘点机的数据传送到电脑数据库进行综合处理。5.造价比较低,容易被广大用户接受,有利于推广,是一种很有前途的抄表系统,有利于开展抄表到户的工作7。2.14 尘埃计数器尘埃计数器是用来测量空气中微粒的数量及大小的仪器,从而为空气洁净度的评定提供依据。常见的尘埃计数器是光散射式 (DAPC)的,测量粒径范围 0110tm,此外还有凝聚核式的尘埃粒子计数器CNC),可测量尺寸更小的尘埃粒子。2.15 细胞计数器1.应用于骨髓细胞分类计数能对人体40余种骨髓细胞分类计数、分析,当计

27、数到预定总数时,会发出信号,并自动分析出完整的各项指标,其中有细胞总计数、各种细胞个数、百分率、白红比例、粒红比例等,并能对主要指标自动循环显示,准确可靠。2.应用于外周血细胞分类计数能对外周血中常见的5种细胞即中性粒细胞、淋巴细胞、单核细胞、嗜酸性细胞、嗜碱性粒细胞进行分类计数、分析。若出现幼稚细胞也能进行计数分析,检验人员只需将观察到的外周血中的各种细胞输入仪器,能立刻循环显示出细胞总计数、各种细胞个数、百分率等指标,速度快、方便、准确。3.应用于细胞化学染色结果的计算能对细胞化学染色结果进行计算,自动算出阳性和阳性反应细胞总数、阳性率和积分数等指标。2.16 智能计数器随着时代的发展,技

28、术的进步,工业发展也很快,很多工序都实现自动化,让操作变的简单,工作效率变的高效,生产效率不断提高,集成芯片的大量普及和价格的大幅度降低,传统机械计数器,电械计数器,电磁脉冲计数器逐渐被智能计数器所代替。智能计数器的优越性:如自动复位,数值设置,远程通讯等是传统计数器根本无法相提并论,其价格向机械计数器,电磁计数器靠拢更是向前者发出严重的挑战。智能计数器,是利用数字电路技术数出给定时间内所通过的脉冲数并显示计数结果的数字化仪器。智能计数器是其他数字化仪器的基础。在它的输入通道接入各种模-数变换器,再利用相应的换能器便可制成各种数字化仪器。智能计数器的特点:测量精度高、量程宽、功能多、操作简单、

29、测量速度快、直接显示数字,而且易于实现测量过程自动化。智能计数器按功能可分4类:1.通用计数器:可测频率、周期、多周期平均、时间间隔、频率比和累计等。2.频率计数器:专门用于测量高频和微波频率的计数器。3.计算计数器:具有计算功能的计数器,可进行数学运算,可用程序控制进行测量计算和显示等全部工作过程4.微波计数器:是以通用计数器和频率计数器为主配以测频扩展器而组成的微波频率计。应用领域:智能计数器在工业生产和科学实验中得到广泛应用。2.17 空气离子浓度计算器空气离子浓度计算器是吸引空气(或者带有离子存在的气体)通过带电的平行极化电极板进行计数空气中的离子(气体)浓度的。外侧二板保持极化(正、

30、负)电势,中间是线性检测器板。空气的空隙是4MM,极化区的电势是1000V/M。即可测定正离子,又可测定负离子。也可以测定有关机体的负离子浓度,如环境、空气净化器、电电吹风、空调、负离子粉等。适用于学术单位、环保系统、负离子发生器研发机构、相关展示单位。2.18 LoadRunner监视的性能计数器Memory: 内存使用情况可能是系统性能中最重要的因素。如果系统“页交换”频繁,说明内存不足。“页交换”是使用称为“页面”的单位,将固定大小的代码和数据块从RAM 移动到磁盘的过程,其目的是为了释放内存空间。频繁的页交换将降低系统性能。减少页交换将显著提高系统响应速度。要监视内存不足的状况,请从以

31、下的对象计数器开始:Available mbytes:可用物理内存数. 如果Available Mbytes的值很小(4 MB 或更小),则说明计算机上总的内存可能不足,或某程序没有释放内存。page/sec: 表明由于硬件页面错误而从磁盘取出的页面数,或由于页面错误而写入磁盘以释放工作集空间的页面数。一般如果pages/sec持续高于几百,那么您应该进一步研究页交换活动。有可能需要增加内存,以减少换页的需求(你可以把这个数字乘以4k就得到由此引起的硬盘数据流量)。Pages/sec 的值很大不一定表明内存有问题,而可能是运行使用内存映射文件的程序所致。page read/sec:页的硬故障,

32、page/sec的子集,为了解析对内存的引用,必须读取页文件的次数。阈值为5. 越低越好。大数值表示磁盘读而不是缓存读。由于过多的页交换要使用大量的硬盘空间,因此有可能将导致将页交换内存不足与导致页交换的磁盘瓶径混淆。因此,在研究内存不足不太明显的页交换的原因时,您必须跟踪如下的磁盘使用情况计数器和内存计数器:Physical Disk % Disk TimePhysical Disk Avg.Disk Queue Length例如,包括Page Reads/sec 和% Disk Time 及Avg.Disk Queue Length。如果页面读取操作速率很低,同时% Disk Time 和

33、Avg.Disk Queue Length的值很高,则可能有磁盘瓶径。但是,如果队列长度增加的同时页面读取速率并未降低,则内存不足。要确定过多的页交换对磁盘活动的影响,请将Physical Disk Avg.Disk sec/Transfer 和Memory Pages/sec 计数器的值增大数倍。如果这些计数器的计数结果超过了0.1,那么页交换将花费百分之十以上的磁盘访问时间。如果长时间发生这种情况,那么您可能需要更多的内存。Page Faults/sec:每秒软性页面失效的数目(包括有些可以直接在内存中满足而有些需要从硬盘读取)较page/sec只表明数据不能在内存的指定工作集中立即使用。

34、Cache Bytes:文件系统缓存(File System Cache),默认情况下为50%的可用物理内存。如IIS5.0 运行内存不够时,它会自动整理缓存。需要关注该计数器的趋势变化如果您怀疑有内存泄露,请监视Memory Available Bytes 和Memory Committed Bytes,以观察内存行为,并监视您认为可能在泄露内存的进程的ProcessPrivate Bytes、ProcessWorking Set 和ProcessHandle Count。如果您怀疑是内核模式进程导致了泄露,则还应该监视MemoryPool Nonpaged Bytes、Memory Poo

35、l Nonpaged Allocs 和Process(process_name) Pool Nonpaged Bytes。Pages per second:每秒钟检索的页数。该数字应少于每秒一页。Process:%Processor Time: 被处理器消耗的处理器时间数量。如果服务器专用于sql server,可接受的最大上限是80-85%Page Faults/sec:将进程产生的页故障与系统产生的相比较,以判断这个进程对系统页故障产生的影响。Work set: 处理线程最近使用的内存页,反映了每一个进程使用的内存页的数量。如果服务器有足够的空闲内存,页就会被留在工作集中,当自由内存少于一

36、个特定的阈值时,页就会被清除出工作集。Inetinfo:Private Bytes:此进程所分配的无法与其它进程共享的当前字节数量。如果系统性能随着时间而降低,则此计数器可以是内存泄漏的最佳指示器。Processor:监视“处理器”和“系统”对象计数器可以提供关于处理器使用的有价值的信息帮助您决,定是否存在瓶颈。 %Processor Time:如果该值持续超过95%,表明瓶颈是CPU。可以考虑增加一个处理器或换一个更快的处理器。 %User Time:表示耗费CPU的数据库操作,如排序,执行aggregate functions等。如果该值很高,可考虑增加索引,尽量使用简单的表联接,水平分割

37、大表格等方法来降低该值。 %Privileged Time:(CPU内核时间)是在特权模式下处理线程执行代码所花时间的百分比。如果该参数值和Physical Disk参数值一直很高,表明I/O有问题。可考虑更换更快的硬盘系统。另外设置Tempdb in RAM,减低max async IO,max lazy writer IO等措施都会降低该值。此外,跟踪计算机的服务器工作队列当前长度的Server Work Queues Queue Length 计数器会显示出处理器瓶颈。队列长度持续大于4 则表示可能出现处理器拥塞。此计数器是特定时间的值,而不是一段时间的平均值。% DPC Time:越低

38、越好。在多处理器系统中,如果这个值大于50%并且Processor:% Processor Time非常高,加入一个网卡可能会提高性能,提供的网络已经不饱和。ThreadContextSwitches/sec: (实例化inetinfo 和dllhost 进程) 如果你决定要增加线程字节池的大小,你应该监视这三个计数器(包括上面的一个)。增加线程数可能会增加上下文切换次数,这样性能不会上升反而会下降。如果十个实例的上下文切换值非常高,就应该减小线程字节池的大小。Physical Disk:%Disk Time %:指所选磁盘驱动器忙于为读或写入请求提供服务所用的时间的百分比。如果三个计数器都比

39、较大,那么硬盘不是瓶颈。如果只有%Disk Time比较大,另外两个都比较适中,硬盘可能会是瓶颈。在记录该计数器之前,请在Windows 2000 的命令行窗口中运行diskperf -yD。若数值持续超过80%,则可能是内存泄漏。Avg.Disk Queue Length:指读取和写入请求(为所选磁盘在实例间隔中列队的)的平均数。该值应不超过磁盘数的1.52 倍。要提高性能,可增加磁盘。注意:一个Raid Disk实际有多个磁盘。Average Disk Read/Write Queue Length:指读取(写入)请求(列队)的平均数。Disk Reads(Writes)/s: 物理磁盘上

40、每秒钟磁盘读、写的次数。两者相加,应小于磁盘设备最大容量。Average Disksec/Read: 指以秒计算的在此盘上读取数据的所需平均时间。Average Disk sec/Transfer:指以秒计算的在此盘上写入数据的所需平均时间。Bytes Total/sec:为发送和接收字节的速率,包括帧字符在内。判断网络连接速度是否是瓶颈,可以用该计数器的值和目前网络的带宽比较8。2.19小结计数器是人们日常生活的里不开的工具,它也是所有计数器的基础。以上计数器知识一些常见的计数器,它们出现在各个领域,并扮演了重要的角色,大大提高了人们的生产效率。由此我们可以看出计数器将以多样式、多功能的形式

41、发展,在未来生活中会体现出越来越重要的位置。第3章 32位高速计数器3.1 32位计数器程序3.1.1 顶层文件设计 顶层文件设计图(如图3-1) 图3-1 顶层文件设计图3.1.2 cnt_ffffffff(32位计数器)Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;entity cnt_ffffffff is port(clk,rst,en: in std_logic; day_7,day_6,day_5,day_4,day_3,day_2,day_1,day_0:out std_logic

42、_vector(3 downto 0);end cnt_ffffffff;architecture behave of cnt_ffffffff is signal d_7,d_6,d_5,d_4,d_3,d_2,d_1,d_0: std_logic_vector(3 downto 0);beginprocess (rst,clk) begin if(rst=0)then d_7=0000;d_6=0000;d_5=0000;d_4=0000;d_3=0000;d_2=0000;d_1=0000;d_0=0000; elsif(clkevent and clk=1) then if(en=1)

43、 then if(d_0=1111) then d_0=0000; if(d_1=1111) then d_1=0000; if(d_2=1111) then d_2=0000; if(d_3=1111) then d_3=0000; if(d_4=1111) then d_4=0000; if(d_5=1111) then d_5=0000; if(d_6=1111) then d_6=0000; if(d_7=1111) then d_7=0000; else d_7=d_7+1; end if; else d_6=d_6+1; end if; else d_5=d_5+1; end if; else d_4=d_4+1; end if; else d_3=d_3+1;

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号