EDA课程设计38译码器.doc

上传人:文库蛋蛋多 文档编号:4137141 上传时间:2023-04-07 格式:DOC 页数:15 大小:2.29MB
返回 下载 相关 举报
EDA课程设计38译码器.doc_第1页
第1页 / 共15页
EDA课程设计38译码器.doc_第2页
第2页 / 共15页
EDA课程设计38译码器.doc_第3页
第3页 / 共15页
EDA课程设计38译码器.doc_第4页
第4页 / 共15页
EDA课程设计38译码器.doc_第5页
第5页 / 共15页
点击查看更多>>
资源描述

《EDA课程设计38译码器.doc》由会员分享,可在线阅读,更多相关《EDA课程设计38译码器.doc(15页珍藏版)》请在三一办公上搜索。

1、第一章 绪论1.1 EDA技术介绍 EDA(Electronic Design Automation)技术是现代电子工程领域的一门新技术。基于可编程逻辑器件的数字系统EDA技术可以简单概括为以大规模可编程逻辑器件为设计载体,通过硬件描述语言输入给相应开发软件,经过编译和仿真,最终下载到设计载体中,从而实现系统电路。 在数字系统中,能将二进制代码翻译成所表示信息的电路称为译码器。译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻

2、址和组合控制信号等。不同的功能可选用不同种类的译码器。而常用的译码器有二进制译码器,二十进制译码器和显示译码器。译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线4线、3线8线和4线16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n 个输出端供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。 本次课程设计的题目为3-8译码器。要求用掌握3-8译码器的构成、原理与设计方法;熟悉quartus60软件的使用方法;能用VHDL语言设计3-8译码器电路;并仿真出38译码器的功能。第二

3、章 38译码器2.1 38译码器介绍 译码器属于组合逻辑电路,它的逻辑功能是将二进制代码按其编码时的原意译成对应的输出高、底电平信号,又叫解码器。在数字电子技术中,它具有非常重要的地位,应用也很广泛。它除了常为其它集成电路产生片选信号之外,还可以作为数据分配器、函数发生器用,而且在组合逻辑电路设计中它可替代繁多的逻辑门,简化设计电路。这次我们运用的3 线8 线译码器就是一个典型例子。 38译码器为3 线8 线译码器,共有 54/74S138和 54/74LS138 两种线路结构型式,其主要电特性的典型值如下:当一个选通端(G1)为高电平,另两个选通端(/(G2A)和/(G2B))为低电平时,可

4、将地址端(A、B、C)的二进制编码在一个对应的输出端以低电平译出。利用 G1、/(G2A)和/(G2B)可级联扩展成 24 线译码器;若外接一个反相器还可级联扩展成 32 线译码器。 38译码器内部结构图如图2-1,其工作原理是当一个选通端()为高电平,另两个选通端(()和())为低电平时,可将地址端(、)的二进制编码在一个对应的输出端以低电平译出。图2-1 38译码器内部电路图 38译码器的真值表,如图2-2所示图2-2 38译码器真值表图无论从内部结构图还是真值表图我们都可以看到3-8译码器的八个输出管脚,任何时刻要么全为高电平1芯片处于不工作状态,要么只有一个为低电平0,其余7个输出管脚

5、全为高电平1。如果出现两个输出管脚在同一个时间为0的情况,说明该芯片已经损坏。当附加控制门的输出为高电平(S1)时,可由图2-3逻辑图得出。图2-3 逻辑图由上式可以看出,在同一个时间又是这三个变量的全部最小项的译码输出,所以也把这种译码器叫做最小项译码器。3-8译码器有三个附加的控制端、和。当、时,输出为高电平(S1),译码器处于工作状态。否则,译码器被禁止,所有的输出端被封锁在高电平,如表1所示。这三个控制端也叫做“片选”输入端,利用片选的作用可以将多篇连接起来以扩展译码器的功能。带控制输入端的译码器又是一个完整的数据分配器。电路中如果把作为“数据”输入端(在同一个时间),而将作为“地址”

6、输入端,那么从送来的数据只能通过所指定的一根输出线送出去。这就不难理解为什么把叫做地址输入了。例如当101时,门的输入端除了接至输出端的一个以外全是高电平,因此的数据以反码的形式从输出,而不会被送到其他任何一个输出端上。第三章 程序设计及软件应用3.1 软件安装 quartus的安装还是比较简便的,但是还是需要注意几点。图3-1 程序开始 一直选择“next”,进行下一步,一直到如3-2所示。图3-2 程序安装界面如果C盘空间充足的话,这个路径默认就好了,如果不足的话,可以选择自己想要的路径。这里有一点补充说明,所有的路径必须是以英语字符或是下划线,不允许是出现数字和空格。决定完路径之后,继续

7、“next”。图3-3 程序安装界面 选择默认选择,并继续“next”。然后进行安装。图3-4 程序安装完成界面3.2 程序设计 本次课程设计,是通过quartus软件进行38译码器的仿真实验。在quartus中,我们运用的是VHDL语言进行编程。在反复研究,推敲之后,采用如图3-5所示的的程序。图3-5 程序代码图3.3 程序调试 打开程序,熟悉一下界面,然后如图3-6,3-7所示,选择3-8的芯片,并确定保存路径,最后完成设置。图3-6 程序设置图3-7 程序设置完成设置之后,回到最初的界面,新建VHDL空白页。将编写好的程序输入进去。如图3-8所示。图3-8 程序输入 接着进行编译,操作

8、如下图3-9.图3-8 程序调试图在编译的过程中,可能会出现报错,或是读条不满。通过一次一次改错,最终还是调试成功了。程序成功如图3-9所示。图3-9 程序完成图 完成程序的调试,就以为着,我们可以进行3-8译码器的调试。接下来几个步骤,根据如图3-10 3-11 3-12 3-13所示。图3-10 3-8译码器调试图3-11 3-8译码器调试图3-12 3-8译码器调试图3-13 3-8译码器调试 通过上几步的调试,会出现一个波形图,如3-14所示。图3-14 波形图 之后,可以在这个波形的基础上,进行改动。比如可以将低电平改成高电平,并观察波形图的变化,如图3-15 3-16所示。图3-1

9、5改动后的波形图 3-8译码器设计,基本形成,还可以进行其他的调试。本次设计的目的也达到了。总结 本次课程是运用quartus软件,仿真出3-8译码器。经过这一个星期努力,初步掌握quartus的安装,以及简单的应用,并成功的仿真出3-8译码器的波形图,还可以进行简单的改变,来丰富3-8译码器的作用。更是让我深入的了解3-8译码器的作用,以及quartus更多的应用。 因为已经经历很多次课程设计,所以这次并没有像以前那样,无从下手。在接到老师分发的题目后,井然有序的去图书馆查询相关资料,并在纸上练习该程序的代码编程。做完前期工作之后,开始实际操作,发现VHDL的硬件编程优势,使用该语言描述的形

10、式,大大缩短了开发周期,减少了开发难度,并使得系统更加灵活、稳健。 通过这次设计进一步培养了我对科学的认真,谨慎,耐心的态度,也同时为我以后的学习和工作打下了良好的基础。在遇到问题时,不紧张,不害怕,不退缩,迅速找到解决问题的办法,坚持自己的理论,同时要抱着对科学认真负责的态度。对今后的学习,有很大的帮助。致谢 通过这次课程设计使我们对一个全新的领域EDA 技术有了初步的了解,也让我们对quartus有了一定的了解。在这里感谢城建学院电气实验教学中心为我们提供资料与设备。在课程设计当中离不开指导老师的耐性指导,在这里对指导老师表示深深的感谢和由衷的敬意。 参考文献1.EDA技术与数字系统设计M,尹常永主编,西安电子科技大学出版社2.电子技术基础数字电子技术M,郝波主编,西安电子科技大学出版社3.EDA技术与应用M,江国强主编,电子工业出版社4.EDA工程方法学M,曾繁泰,陈美金,沈卫红,曾名主编,清华大学出版社5.数字逻辑EDA设计与实践M,刘昌华主编,国防工业出版社6.EDA技术及应用M,朱正伟 王其红 韩学超主编,清华大学出版社7.EDA技术实用教程M,黄仁欣主编,北京:清华大学出版社,2006 8.VHDL实用教程M,潘松主编,成都:电子科技大学出版社,2000 9.现代电子技术-VHDL与数据系统设计J,杨刚,龙海燕编,北京:电子工业出版社,2004

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号