EDA技术与应用课程设计报告基于FPGA的DDS函数信号发生器的设计.doc

上传人:laozhun 文档编号:4139253 上传时间:2023-04-07 格式:DOC 页数:16 大小:474.50KB
返回 下载 相关 举报
EDA技术与应用课程设计报告基于FPGA的DDS函数信号发生器的设计.doc_第1页
第1页 / 共16页
EDA技术与应用课程设计报告基于FPGA的DDS函数信号发生器的设计.doc_第2页
第2页 / 共16页
EDA技术与应用课程设计报告基于FPGA的DDS函数信号发生器的设计.doc_第3页
第3页 / 共16页
EDA技术与应用课程设计报告基于FPGA的DDS函数信号发生器的设计.doc_第4页
第4页 / 共16页
EDA技术与应用课程设计报告基于FPGA的DDS函数信号发生器的设计.doc_第5页
第5页 / 共16页
点击查看更多>>
资源描述

《EDA技术与应用课程设计报告基于FPGA的DDS函数信号发生器的设计.doc》由会员分享,可在线阅读,更多相关《EDA技术与应用课程设计报告基于FPGA的DDS函数信号发生器的设计.doc(16页珍藏版)》请在三一办公上搜索。

1、EDA技术与应用课程论文题 目: 基于FPGA的DDS函数信号发生器的设计 院 (系): 电子工程学院 专 业: 测试计量技术及仪器 学生姓名: 学 号: 072081123 指导老师: 职 称: 教 授 2007 年 12 月 25 日评语得分基于FPGA的DDS函数信号发生器的设计摘 要本系统采用直接数字频率合成(DDS)技术,由FPGA产生正弦信号、模拟频率调制(FM)信号和ASK、PSK载波信号系统主要以单片机C8051F020为辅助控制中心,完成人机对话并产生ASK、PSK所需要的调制和基带信号;以FPGA芯片EP2C8为DDS核心,经10位高速DA变换得到模拟信号,经九阶巴特沃斯低

2、通滤波(LPF)后,送到功率放大电路进行放大输出。关键字:单片机;混合信号;直接数字合成;单片机总线控制;多功能信号源;1 DDS的原理及特点介绍DDS技术是根据奈奎斯特定理取样,从连续信号的相位出发,将一个正弦信号取样、量化和编码形成一个正弦函数表存在存储器中。合成时,通过改变相位累加器的频率控制字来改变相位增量。相位增量不同将导致一周期内的取样点数的不同,在取样频率不变的情况下,通过改变相位累加器的频率控制字,将这种变化的相位除以幅值量化的数字信号,通过D/A转换及低通滤波器即可得到合成的相位变化的模拟信号频率。1.1 DDS原理简介直接数字频率合成(DDS)是从相位概念出发直接合成所需波

3、形的一种频率合成技术。一个典型的直接数字频率合成器由相位累加器、加法器、波形存储ROM、D/A转换器和低通滤波器(LPF)构成。DDS的原理框图如图1.1所示。S(n)S(t)累加器加器加法器加器ROM加器D/A加器LPF加器D位参考时钟fc频率控制字KN位N位相位控制字P输出图1.1 DDS原理框图其中K为频率控制字、P为相位控制字、为参考时钟频率、N为相位累加器的字长、D为ROM数据位及D/A转换器的字长。相位累加器在时钟的控制下以步长K作累加,输出的N位二进制码与相位控制字P相加后作为波形ROM的地址,对波形ROM进行寻址,波形ROM输出D位的幅度码S(n)经D/A转换器变成阶梯波S(t

4、),再经过低通滤波器平滑后就可以得到合成的信号波形。合成的信号波形形状取决于波形ROM中存放的幅度码,因此用DDS可以产生任意波形。这里只用DDS实现正弦波的合成作说明介绍:(1)频率预置与调节电路K被称为频率控制字,也叫相位增量。DDS方程为 (为输出频率,为时钟频率) (2.1)当K=1时,DDS输出最低频率(即频率分辨率)为/2N,而DDS的最大输出频率由Nyquist采样定理决定,即/2,也就是说K的最大值为2N-1。因此,只要N足够大,DDS可以得到很小的频率间隔。要改变DDS的输出频率,只要改变频率控制字K即可。(2)相位累加器相位累加器由N位加法器与N位寄存器级联构成。每来一个时

5、钟脉冲,加法器将频率控制字K与寄存器输出的累加相位数据相加,再把相加后的结果送至寄存器的数据输入端。寄存器将加法器在上一个时钟作用后所产生的相位数据反馈到加法器的输入端;以使加法器在下一个时钟作用下继续与频率控制字进行相加。这样,相位累加器在时钟的作用下,进行相位累加。当相位累加器溢出时,就完成了一个周期的动作。(3)控制相位的加法器通过改变相位控制字P可以控制输出信号的相位参量。令相位加法器的字长为N,当相位控制字由0跃变到P(P0)时,波形存储器的输入为相位累加器的输出与相位控制字P之和,因而其输出的幅度编码相位会增加P/2N,从而使最后的输出的信号产生相移。(4)波形存储器用相位累加器输

6、出的数据作为波形存储器的取样地址,进行波形的相位-幅值转换,即可在给定的时间上确定输出波形的抽样幅值。N位的寻址ROM相当于0360的正弦信号离散成具有2N个样值的序列,若波形ROM有D位数据位,则2N个样值的幅值以D位二进制数值固化在ROM中,按照地址的不同可以输出相应的正弦信号的幅值。(5)D/A转换器D/A转换器的作用是把合成的正弦波数字量转换成模拟量。正弦幅度量化序列S(n)经D/A转换后变成了包络为正弦波的阶梯波S(t)。需要注意的是,频率合成器对D/A转换器的分辨率有一定的要求,D/A转换器的分辨率越高,合成的正弦S(t)台阶数就越多,输出的波形的精度也越高。(6)低通滤波器对D/

7、A输出的阶梯波S(t)进行频谱分析,可知S(t)中除了主频外,还存在分布在,2两边处的非谐波分量,幅值包络为辛格函数。因此,为了取出主频,必须在D/A转换器的输出端接入截止频率为/2的低通滤波器。1.2 DDS技术的特点DDS技术之所以具有如此广阔的发展应用前景,是与DDS技术的特点分不开的。与直接式频率合成(DS)、间接式频率合成(PLL)相比,直接数字频率合成具有下述优点。(1)频率切换时间短:DDS的频率转换可以近似认为是即时的,这是因为它的相位序列在时间上是离散的,在频率控制字K改变以后,要经一个时钟周期之后才能按照新的相位增量累加,所以也可以说它的频率转换时间就是频率控制字的传输时间

8、,即一个时钟周期。如果=10M,转换时间即为1OOns,当时钟频率进一步提高,转换时间将会更短,但再短也不能少于数门电路的延迟时间。目前,集成DDS产品的频率转换时间可达10ns的量级,这是目前常用的锁相频率合成技术无法做到的。(2)频率分辨率高:DDS的最小频率步进量就是它的最低输出频率, 即 可见只要累加器有足够的字长,实现非常精密的分辨率没有多大的困难。例如可以实现Hz、mHz甚至NHZ的频率分辨率,而传统的频率合成技术要实现这样的频率分辨率十分困难,甚至是不可能的。(3)相位变化连续:DDS改变输出频率实际上改变的是每次的相位增量,即改变相位的增长速度。当频率控制字改变后,它是在已有的

9、积累相位上,再每次累加,相位函数的曲线是连续的,只是在改变频率的瞬间其斜率发生了突变,因而保持了输出信号相位的连续性。这在很多对频率合成器的相位要求比较严格的场合非常有用。(4)具有低相位噪声和低漂移:DDS系统中合成信号的频率稳定度直接由参考源的频率稳定度决定,合成信号的相位噪声与参考源的相位噪声相同。而在大多数DDS系统应用中,一般由固定的晶振来产生基准频率,所以其具有极好的相位噪声和漂移特性。(5)易于集成、易于调整:DDS中除了DAC和滤波器之外,几乎所有的部件都属于数字信号处理器件,不需要任何调整。当然DDS技术也有其不可避免的缺点。如:信号杂散比较丰富、输出信号的频带受限等,而这需

10、要在算法或工艺上作进一步改进。2 DDS函数信号发生器的软件设计与仿真2.1 正弦信号发生器的设计根据直接数字频率合成(DDS)原理,设正弦波信号频率为,在32频率控制字时,频率分辨率,只要通过单片机向FPGA送入与相对应的频率控制字,就可得到上式表示的正弦信号:。DDS正弦信号信号源的顶层文件设计如下-项目名称:DDS的顶层文件-文件名 :dds_all.vhd-功能描述:实现直接数字合成,含有32位频率控制字,-频率对应的由低到高控制地址是f00H,f01h,f02h,f03h,f04h,先写入数据-到前三个寄存器,最后随便写入一个控制字到F04H既启动DDS.-PSK对应的基带码寄存器是

11、F05H,ASK对应的寄存器是F06H,控制寄存器对应-的地址是F07H,控制由低位到高位控制的依次是ASK,PSK,FM,第3位与第四位-控制FM的频率偏移,01是5K,10是10K.用单片机总线复用方式写入外部RAM的-方式写入. -library ieee;-用到的库use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;-entity dds_all isport(clk:in std_logic;-时钟 cs:in std_logic;-片选 ale:in std_logic;-地址琐存 wr:in std_logic;

12、-写信号 rd:in std_logic;-读信号 addh:in std_logic_vector(6 downto 0);-地址高位 addl:inout std_logic_vector(7 downto 0);-地址低端 pskdaout:out std_logic;-PSK基带信号输出 askdaout:out std_logic;-ASK基带信号输出 daout:out std_logic_vector(9 downto 0);-到DA的数据 daclkout:out std_logic);-DA的时钟输出end dds_all;-architecture one of dds_a

13、ll iscomponent pll-PLL模块PORT(inclk0: IN STD_LOGIC := 0;c0: OUT STD_LOGIC ;c1: OUT STD_LOGIC ;c2: OUT STD_LOGIC );end component;component sinrom-SINA查找表PORT(address: IN STD_LOGIC_VECTOR (10 DOWNTO 0);clock: IN STD_LOGIC ;q: OUT STD_LOGIC_VECTOR (9 DOWNTO 0);end component;component mcu_inf-与MCU接口模块por

14、t(cs:in std_logic;-片选 rd:in std_logic;-读使能 ale:in std_logic;-地址锁存 wr:in std_logic;-写使能 rdata:in std_logic_vector(7 downto 0); addh:in std_logic_vector(6 downto 0);-地址高位 addl:inout std_logic_vector(7 downto 0);-地址低位 rlatch:out std_logic;-写到FPGA内部的总线信号 wlatch:out std_logic;-读到FPGA内部的总线信号 addout:out st

15、d_logic_vector(14 downto 0);-地址输出 wdata:out std_logic_vector(7 downto 0);-写到的数据end component;component psk_ddsport(clk:in std_logic;-时钟 pskreset:in std_logic;-psk使能; yiweiin:in std_logic_vector(7 downto 0);-数据移位输入 fword:in std_logic_vector(31 downto 0); pskout :out std_logic; daout:out std_logic_vec

16、tor(10 downto 0);end component;component ask_ddsport(clk:in std_logic;-DDS时钟 fskreset:in std_logic;-控制复位 dain:in std_logic_vector(7 downto 0);-基带码输入 pwordin:in std_logic_vector(10 downto 0);-相位输入最高位检测 askout:out std_logic; daout:out std_logic_vector(10 downto 0);-输出end component;component fm_ddsport

17、(clk:in std_logic;-33M时钟 fsel:in std_logic_vector(1 downto 0);-拼偏控制 fmreset:in std_logic;-fm的使能信号 fword:in std_logic_vector(31 downto 0);-频率字输入 fwordout:out std_logic_vector(31 downto 0);-频率字输出end component;component regctport(wlatch:in std_logic;-写琐存 add:in std_logic_vector(14 downto 0);-地址 dain:in

18、 std_logic_vector(7 downto 0);-信号 fwordout:out std_logic_vector(31 downto 0);-频率字 pskout:out std_logic_vector(7 downto 0);-PSK基带码 askout:out std_logic_vector(7 downto 0);-FSK基带码 assignout:out std_logic_vector(7 downto 0);-控制寄存器end component;signal daclkouts,clk120M,clk33M,wlatchs:std_logic;signal ad

19、ds:std_logic_vector(14 downto 0);signal romadd:std_logic_vector(10 downto 0);signal ctreg,pskdareg,askdareg,wdatas: std_logic_vector(7 downto 0);signal fwords,fwordreg:std_logic_vector(31 downto 0);signal pskout:std_logic_vector(10 downto 0);begindaclkoutclk,c0=clk120M,c1=clk33M,c2=daclkouts);-PLL模块

20、连接关系U2:sinrom port map(address=romadd,clock=clk120M,q=daout);U3:mcu_inf port map(cs=cs,rd=rd,ale=ale,wr=wr,rdata=X00,addh=addh,addl=addl,wlatch=wlatchs,addout=adds,wdata=wdatas);-与MCU通信的模块连接关系U4:psk_dds port map(clk=clk120M,pskreset=ctreg(1),yiweiin=pskdareg,fword=fwords,pskout=pskdaout,daout=pskout

21、);-PSK产生模块的接关系U5:ask_dds port map(clk=clk120M,fskreset=ctreg(0),dain=askdareg,pwordin=pskout,askout=askdaout,daout=romadd);-ASK模块连接关系U6:fm_dds port map(clk=clk33M,fsel=ctreg(4 downto 3), fmreset=ctreg(2),fword=fwordreg,fwordout=fwords);-fm模块连接关系U7:regct port map(wlatch=wlatchs,add=adds,dain=wdatas,f

22、wordout=fwordreg,pskout=pskdareg,askout=askdareg,assignout=ctreg);-寄存器控制连接模块end one;DDS仿真结果如下:图2.1 正弦信号输出仿真结果数字信号经过DA变换器THS5651后得到模拟的正弦信号。由于DA输出的是电流信号,在DA的电流输出端Iout、Ioutb端各接100电阻进行取压,后经一级差分放大得到峰值为2V左右的信号。2.2 FM信号产生FM调制信号在FPGA内部的产生是一个按规律不断改变频率字的过程。如图2.1。频偏控制字中心频率字到DDS512KH时钟加乘512个频率偏移表DDS频率字图2.1 FM调制

23、信号的产生由模拟FM原理:。式中,f为瞬时频率,f0为载波频率,fm为瞬时最大频偏。在FPGA中,上述调频过程是全数字化的,设fm、sint对应的数字式分别为FSWm、VD,则有: ,Di0,1,3,5,511 (VD送数周期为1ms,调制信号频率为1KHz)因此,得数字调频公式如下:。(式中FSW对应瞬时频率f。)2.3 PSK、ASK信号产生PSK信号的产生是在DDS中的频率字累加器和相位加法器之间加PSK模块构成。如图2.2所示。移位寄存器寄存着基带码,其输出位在非PSK模式时送到相位累加器的相位为0,当相关的控制信号跳变时启动计数器和移位寄存器。由于题目要求在100K的载波上调制10k

24、bs的信号。为了使基带信号能在正弦载波0相位时同步,而决定到达0相位时是数字信号的最高位,因而计数器对最高位下降沿记数,当为十次时,计数器产生一上升沿驱动移位寄存器移出一位基带码,决定相位加法器的相位跳变。这种设计在正弦频率字对应的100KHZ的时候基带的速率由计数器决定,即是载波的十分之一,即10kbs,为题目规定的要求,框图如2.2所示。PSK基带字及控制信号到ASK控制频率字最高位频率累加相位加法器记数十次移位寄存器图2.2 PSK产生框图ASK信号的产生是在DDS正弦查找表之前加上了ASK模块,正弦查找表中0地址代表的是正弦波的相位0,这时对应的幅度输出也为0,利用这一点,设计如图2.

25、3 。当相关的ASK控制信号产生时,记数器对相位字高位进行记数,每记数十次发出一个上升沿使移位寄存器移出一位基带信号,移出的如果是二进制0则数据选择器选择相位0到正弦查找表,这时输出为0,移出的如果是二进制1,则选择DDS产生的相位字,经查找表输出的波形就为正弦波,由于记数器检测的是相位字每次到0的时候改变幅度,因此基带信号与载波同步的非常的好。这种设计在正弦频率字对应的100KHZ的时候基带的速率由计数器决定,即是载波的十分之一,即10kbs,为题目规定的要求。ASK基带字及控制信号相位字到DA全0最高位记数十次正弦查找表移位寄存器数据选择器图2.3 ASK产生框图3 DDS函数信号发生器的

26、硬件实现3.1单片机控制系统单片机系统主要负责人机交互和相应功能的实现,由液晶面板显示各级功能菜单,通过按键来灵活实现调频、调幅,ASK、PSK等功能。3.2 FPGA核心系统FPGA作为核心系统,主要负责高速控制,生成DDS所需的数据和控制信号,在单片机系统的控制下做出相应功能响应。因为FPGA控制灵活、资源丰富,在完成底层控制的内时,还内建多个数字核,如:DDS、BPSK控制、FSK控制、调频等。其硬件电路如图3.1所示。图3.1 FPGA最小系统硬件电路图3.3 高速DA模块图3.2 高速DA输出电路图如图3.2所示,为DA电路原理图。高速DA负责将FPGA送来的频率数据转换成模拟信号输

27、出。因为所需信号频率达10MHz,要能很好的生成信号,必需取足够大转换速度的DA芯片。我们采用THS5651 D/A芯片,其速率达125MPS,能很好满足要求。增益放大电路采用运放THS4001,在15供电,增益为1情况下,3dB带宽达270M,完全能满足要求。3.4 30MHz低通LC滤波器DDS输出信号携带时钟和其高次谐波的高频分量是其固有特性,因此一个良好的滤波器也是设计成功的关键。本设计中,DAC时钟频率使用120MHz,与10MHz相差较远,滤波比较容易实现,选取低通滤波器截止频率为30MHz。阶数相同而类型不同的滤波器中,椭圆滤波器的阻带下降最快,但通带内有波动,且对元件参数要求较

28、高。与之相比巴特沃斯滤波器在通带内具有很高的平坦性,但阻带下降速度不如椭圆滤波器。考虑到本设计中对滤波器的要求不高,且巴特沃斯滤波器实现较容易,另外,为保证通带内具有较高的平坦性,选用巴特沃斯滤波器作为本次设计的滤波器。为了提高阻带衰减速度,取阶数为九阶,使用Filter solutions软件设计的滤波器如图3.3所示。图3.3 九阶巴特沃斯低通滤波器3.5 末级放大要使输出信号具有一定幅度上和功率上的驱动能力,输出必须进行放大。为了保持幅频的平坦性,用两级AD811构成放大电路,第一级负责幅度放大,第二级负责功率驱动。因为保持反馈深度有利于提高动态范围和提高驱动能力,所以第二级放大选取放大

29、倍数为1倍,幅度放大主要由第一级电路完成。电路图如3.4所示。图3.4 末级放大输出电路4 能与数据测试及结果分析4.1 测试仪器TDS3032B 数字示波器4.2 测试方法及数据测试方法:示波器探头接信号输出端口,在其两端并50负载,探头调到X10档,进行数据测量。测得数据如表4. 1。表4.1单一正弦信号测量结果设定频率实测1峰-峰值100HZ100.025HZ5.88V1KHZ1.00023KHZ5.88V10KHZ10.0023KHZ5.82V100KHZ100.024KHZ5.76V1MHZ1.00024MHZ5.803V2MHZ2.00047MHZ5.700V4MHZ4.00094

30、MHZ5.562V6MHZ6.00141MHZ5.422V8MHZ8.00189MHZ5.342V10MHZ10.0024MHZ5.324V 测试结果的波形图图下: 图4.1 测量1MHz时波形图 图4.2 测量5KHz频偏时FM频谱图 图4.3 测量PSK波形图 图4.4 测量ASK波形图五 结束语采用系统级混合信号处理器C8051F020作为系统的控制中心,因而圆满完成了课题的要求。现总结如下:(1)实现了10Hz-20MHz的高精度、高稳定度的频率输出,频率最小步进为10Hz,步进可任意设置。(2)设计了50M的低通和相应的宽带放大器,使得10Hz-20MHz波形无明显失真,10M以内信

31、号幅度的平坦度为0.1dB。(4)使用数字方法实现了模拟调频;没有增加任何硬件,仅使用软件就实现了此功能,简化了系统的复杂性。(5)由单片机同时控制载波和二进制基带信号产生,使得载波和基带信号之间的同步问题得到良好的解决。(6)人机接口采用HD7279智能键盘管理芯片和图形点阵液晶作为硬件构架,并设计了一套中文菜单式操作系统,使系统具有良好的人机交互界面。总之,我觉得此次毕业设计的收获不仅仅是完成了课题的任务,更重要的是通过这次毕业设计,我对学过的书本知识有了更深的理解,同时也学到了许多书本上学不到的知识。另外,我在工程应用、独立分析解决问题、科技论文写作等能力方面有了一定的提高,也了解了工程

32、项目开发的基本思路和方法。毕业设计是将平时所学的专业知识同实践相结合的产物。在这次毕业设计中,我重新复习了相关的专业知识,同时也查阅了大量的资料,对电子信息工程专业中电路原理、模拟电子线路、数字电路及高频电子线路等专业基础课的重要性有了新的了解,这也为我以后的学习和工作指明了方向。参考文献张厥胜,曹丽娜.锁相与频率合成技术M.陕西:电子科技大学出版社,1995. P22-232J.Tierney C.Rader, B.Gold. A digital frequency synthesizer. IEEE Transaction on Audio and Electroacoustics. VO

33、L.AU-19, NO.I .1971.3王建明.基于DDS技术的多波形信号源设计D.南京理工大学硕士学位论文.2005.5郑戍华.基于DDS的信号源研制D.北京理工大学硕士学位论文.2003.6Gan Liangcai,Bao Yongqing. Frequency Synthesizer of Short-Wave SFH/MFSK SystemJ. Wuhan University Journal of Natural Sciences, Vol.NO.1.1998,71-759郑毅. 高精度数字频率综合器(DDS)的研究与应用D.武汉理工大学硕士学位论文.2003.0樊昌信,张甫翊,徐炳祥,吴成柯.通信原理M.北京:高等教育出版社,2003.2Silicon Laboratories.C8051F020 DatasheetEB/OL. 3Bitcode.HD7279A DatasheetEB/OL. 4Analog Devices.AD9851 DatasheetEB/OL. 5曹志刚,钱亚生.通信原理M.北京:清华大学出版社,2000.8Analog Devices.AD811 DatasheetEB/OL. 9童长飞.C8051F系列单片机开发与C语言编程M.北京:北京航空航天大学出版社,2005.

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号