基于Verilog的FSK调制器的设计与实现毕业设计论文.doc

上传人:仙人指路1688 文档编号:4139713 上传时间:2023-04-07 格式:DOC 页数:42 大小:2.86MB
返回 下载 相关 举报
基于Verilog的FSK调制器的设计与实现毕业设计论文.doc_第1页
第1页 / 共42页
基于Verilog的FSK调制器的设计与实现毕业设计论文.doc_第2页
第2页 / 共42页
基于Verilog的FSK调制器的设计与实现毕业设计论文.doc_第3页
第3页 / 共42页
基于Verilog的FSK调制器的设计与实现毕业设计论文.doc_第4页
第4页 / 共42页
基于Verilog的FSK调制器的设计与实现毕业设计论文.doc_第5页
第5页 / 共42页
点击查看更多>>
资源描述

《基于Verilog的FSK调制器的设计与实现毕业设计论文.doc》由会员分享,可在线阅读,更多相关《基于Verilog的FSK调制器的设计与实现毕业设计论文.doc(42页珍藏版)》请在三一办公上搜索。

1、 毕业(设计)论文题目:基于Verilog的FSK调制器的设计与实现 目 录摘 要1ABSTRACT2一、前言3(一)课题简介3(二)文献综述3二、系统的总体方案设计8(一)设计要求8(二)设计原理及方案8三、各单元电路设计14(一)DDS整体结构设计14(二)调制器17(三)数字基带信号18四、软件设计及仿真19(一)硬件逻辑电路设计流程19(二)DDS技术软件设计与仿真21(三)选择器仿真24(四)FSK调制器软件仿真24(五)数字基带信号仿真24五、系统调试/硬件测试26(一)硬件调试方法26(二)系统的硬件验证及联调27小 结30致 谢31参考文献32附 录33摘 要FSK(Frequ

2、ency-shift keying)是信息传输中使用得较早的一种调制方式,它实现起来较容易,抗噪声与抗衰减的性能较好,在中低速数据传输中得到了广泛的应用。而DDS(Direct Digital Synthesizer)是直接数字式频率合成器的英文缩写,和DSP(digital signal processor)数字信号处理一样,DDS是一种很重要的数字化技术。与传统的频率合成器相比,DDS的优点有低成本、低功耗、高分辨率和快速转换时间,它广泛地运用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。而本课题设计的基于Verilog的FSK调制器,就是采用EDA技术,以FPGA为核心,在DD

3、S技术的基础上实现FSK调制器的设计。设计中用FPGA芯片完成各种时序逻辑控制、计数功能。在Quartus 平台上,用Verilog HDL语言编程完成了FPGA的软件设计、编译、调试、仿真和下载,在FPGA上进行硬件的测试。关键词: FSK调制器 DDS FPGA Quartus ABSTRACT FSK (Frequency-shift keying) may be used for transmission of information of a modulation earlier, it is easier to implement, preferably anti-anti-noi

4、se and attenuation properties, has been widely used in low-speed data transmission. And a DSP (digital signal processor) - as digital signal processing, DDS is a very important digital technology. DDS (Direct Digital Synthesizer) yes yes direct digital frequency synthesizer abbreviation. Compared wi

5、th the conventional frequency synthesizer, DDS has the advantage of low-cost, low-power, high resolution and fast conversion time, it is widely used in the field of telecommunications and electronic equipment, is to achieve full digital equipment is a key technology. The design of this project Veril

6、og-based FSK modulator, is the use of EDA technology to FPGA as the core, FSK modulator based on DDS technology design. Complete a variety of designs using FPGA chip timing control logic, counting function. On the Quartus platform with Verilog HDL language programming complete FPGA design software,

7、compiling, debugging, simulation and downloading, after the FPGA hardware tests.Keywords: FSK modulator DDS FPGA Quartus 一、 前言(一)课题简介1.设计目标直接数字式频率合成DDS技术是近年来发展起来的一种新的频率合成技术。其主要优点是相对带宽很宽、频率转换时间极短(可小于20ns)、频率分辨率很高(典型值为0.001Hz)、全数字化结构便于集成、输出相位连续、频率、相位和幅度均可实现程控。本设计在采用Verilog HDL语言实现DDS信号源的基础上,实现FSK调制器的设

8、计。2.设计要求1.搜集资料,了解基于DDS的原理和实现方法;2.首先利用Verilog HDL语言实现DDS信号源,然后利用DDS技术实现FSK调制器的设计,并且在FPGA上进行硬件测试;(二)文献综述 1.课题研究的背景及意义 在当今时代,计算机、电子及信息技术的飞速发展使得多种类、高精度、高分辨率、宽频带的信号源在空间通信、雷达测量、遥控遥测、无线电定位、卫星导航和数字通信等领域中的作用越来越大。它本身性能合成技术的研究的好坏直接影响雷达、导航、通信、空间电子、仪器仪表等的性能指标,所以频率合成技术的发展和研究一直受到世界各国的重视。随着数字技术的快速发展,为了取得更快的频率转换速度,人

9、们重新想到了直接合成法,于是便出现了直接数字频率合成器(DDS),直接导致了第二次频率合成技术的飞跃,它是将数模变换器与数字计算机结合起来来产生信号,这项技术出现于七十年代,揭开了频率合成技术发展的新篇章,标志着频率合成技术迈进了第三代。而在最近这几年里,随着VLSI 技术的不断进步与发展,这种结构独特的频率合成技术得到了充分的发展。同传统的频率合成技术相比,由于DDS 主要是通过简单的加法、查表等数字信号处理方式得到我们所需要的信号,因此它具有频率分辨率高、切换时间短,相位变化连续,易实现对输出信号的多种调制,全数字化,便于集成等优点,使得DDS 具有广阔的应用前景。而且随着当前EDA 技术

10、的快速发展,为DDS 的实现提供了更多的实现方式。另外,因为DDS 是利用查表的方式来产生波形的,所以它也可用来做各种波形的发生器,如正弦波,三角波,方波等等,这也是DDS技术另一个非常重要的应用。在21世纪的今天,人们的社会生活已经因信息化时代的到来而被改变了,在人类社会进步发展进程中,通信技术的进步与发展起着越来越重要的作用。数字通信技术以通信理论、数字信号处理理论及微电子技术作为基础,具有非常广泛的发展前景。数字通信主要有两种通信方式:1.是将数字信号作为信息的载体来传输信息的方式。2是将数字信号对载波进行数字调制后再传输的方式。与模拟通信相比,数字通信具有抗干扰能力强,便于加密处理,高

11、度的灵活和通用性,设备便于集成微型化等众多优点,数字通信技术已发展成为现代通信系统的基础技术。数字调制解调技术是现代通信的一个重要的内容,在数字通信系统中,由于基带数字信号通常都包含较低频率分量,甚至包括直流分量,而许多信道,例如无线电信道,不能传输低的频率分量或直流分量,因此必须对数字信号进行载波调制,使基带信号的频率范围搬移到足够高的频段,这就称为数字调制(Digital Modulation) 。它可以分别对载波的幅度、频率、相位进行调制,于是有ASK(振幅键控) 、FSK(频移键控) 、PSK(相移键控) 等调制方式。同时,数字调制也是时分复用的基本技术,其中FSK 是利用数字信号去调

12、制载波的频率,是信息传输较早的一种传输方式,(2FSK) 在通信系统中应用很广泛。本文研究高精度、高分辨率FSK调制器,以FPGA为硬件平台,采用直接数字式频率合成(DDS)技术设计信号源,以产生调制时所需频率的正弦波幅值信号作为FSK调制信号输出。 2.国内外发展的现状 频移键控FSK数字调制,是经过ITU(国际电信联盟)标准化并广泛应用的一种数字调制技术。它具有抗噪声性能好,传输距离远、误码率低等优点,在中低速数据传输中,特别是在衰减信道中传输数据时,有着广泛的应用。首先,在手机来电显示中,FSK数字调制应用是最常见的,所谓的来电显示,其实就是手机主叫号码信息识别及传送的通俗说法。手机用户

13、在有发信息的需要时,在手机上发送相应的文字或者图片信息,而FSK信号则将具有主叫号码信息识别功能的交换机将主叫用户号码及呼叫的日期、时间等信息传送给具有主叫号码显示功能的终端。目前,采用这种方式的国家和地区有:美国,日本,加拿大,中国等。另外,在我国通讯事业发展的几十年中,FSK数字调制解调器的应用也是较为广泛的。其中,计算机数据通信就是应用FSK调制方式进行的。计算机在接受数字信号并把它们翻译成用户接受的可以理解的语言时也是采用二进制技术。即通过FSK信号传输,将二进制的1和0发送给计算机终端的用户;同样的,反过来,又将用户传送的可识别的语言信号经过FSK信号解调成二进制数据发送给计算机终端

14、,通过这种方式,保证计算机的正常运行,使得人与人之间的正常交流,人与社会的正常交流,从而让我们的通讯事业不断的进步,不断发展,使我们的社会更加进步。由于DDS具有频率分辨率高、切换时间短,相位变化连续,易实现对输出信号的多种调制,全数字化等优点,使得它得到了非常广泛的应用。在数字调制方面,它可以用来实现8PSK,QPSK,FSK 等调制。在雷达频率源方面,它可以实现线性调频频率源和窄步长,多点,高相噪的频率源。在扩频通信方面,可实现任意规律的调频模式和CDMA/FH工作方式。所以,研究DDS 在各个领域的应用以及实现是一个非常有意义和前途的课题。但是由DDS的自身的特点也使得它具有两个比较明显

15、的缺点:一是输出信号的带宽受到限制,二是输出信号的杂散比较大。杂散现象主要是因为信号在合成的过程中,会有D/A转换器的截断误差,相位的截断误差,还有D/A转换器的非线性的问题。而随着技术的发展,这些问题也在慢慢的被解决,像通过增长波形ROM的字长和D/A转换器的精度可减小D/A量化误差,通过增长波形ROM的长度可减小相位截断误差等等。但是通过这种对ROM的设置来减小杂散的方法作用还是有限的,而通过国内外众多学者对DDS的输出的频谱不断的分析与总结,在它的频谱特性的基础上,找到了一些降低杂散功率的方法:可通过采样降低带内误差功率,或是通过随机抖动法提高无杂散动态范围。而对于带宽受限这一问题,则可

16、以通过现在的一些先进的工艺、低功耗的设计,提高数字集成电路的工作速度,使DDS芯片的工作频率得到提高,从而产生带宽交大的输出信号。3.研究方法分析整个系统主要是在DDS信号源的基础上实现FSK调制器的设计。由于整个设计主要涉及到DDS信号源,FSK调制器,Verilog HDL语言还有Quartus 软件知识,所以我查阅了很多的资料。通过查阅EDA技术使用教程(第三版)、基于DDS技术高精度移相器的实现、VHDL数字电路设计与应用实践教程、EDA技术与Verilog设计、通信原理教程等等资料,我不仅重新掌握了已经遗忘了的知识,并且对于FPGA技术、Verilog HDL语言、Quartus 软

17、件和FSK调制器的使用有了进一步的了解。对于FSK调制器的知识,我查阅了樊昌信编著的通信原理教程,王素珍、贺英、汪春梅、王涛、李改梅编著的通信原理,陈华鸣编著的频移键控及其应用,Rodger E.Ziemer,William H.Tranter编著的Principles of Communications,强世锦,荣健编著的数字通信原理等书,深入的了解了FSK调制系统的工作原理及其的一些重要的应用。 对于DDS技术的知识,我查阅了潘松、黄继业、潘明编著的EDA技术使用教程(第三版),罗苑棠编著的CPLD/FPGA常用模块与综合系统设计实例精讲、王振红编著的VHDL数字电路设计与应用实践教程 ,

18、Altera Corporation编著的Altera Digital Library,庞健涛编写的基于DDS技术高精度移相器的实现的文章,我深入的理解和掌握了DDS技术的工作原理及实现方法。 对于FPGA技术、Verilog HDL语言方面的知识,我通过查阅了潘松、黄继业、潘明编著的EDA技术使用教程(第三版),王金明、冷自强编著的EDA技术与Verilog设计,王冠、俞一鸣编著的面向CPLD/FPGA的Verilog设计, 给了我很大的帮助,虽然在EDA的课上讲过Verilog语言,也写过一些简单的一个器件的程序,但却并没有真正的自己设计一个系统的程序,通过学习这几本书,我除了巩固了之前学

19、习的Verilog语言的语法知识,注意事项外,还通过看一个个完整的系统程序,让我学会怎样用语言把几段小程序连成一个系统的程序,同时也让我深深了解到FPGA技术应用的广泛。 对于Qurtus 软件方面,我查阅了夏宇闻编著的Verilog数字系统设计教程、罗苑棠编著的CPLD/FPGA常用模块与综合系统设计实例精讲、华清远见嵌入式培训中心编著的FPGA应用开发入门与典型实例,International Limited编著的Qurtus Development Software Handbook v6.0让我熟悉并掌握了Qurtus 软件使用的方法,之前在课堂上只会用一些简单的调试功能,通过翻看这几

20、本书,让我深入的了解到这个软件的强大应用,学会了许多新的功能,像逻辑分析仪,锁相环等等,收益匪浅。 二、系统的总体方案设计(一)设计要求 本文着重于DDS工作原理,以及以DDS技术为基础的FSK调制器原理。首先利用Verilog HDL语言实现DDS信号源,然后利用DDS技术实现FSK调制器的设计,并且在FPGA上进行硬件测试。本文主要针对这几个模块进行研究设计:(1) DDS技术原理以及FSK调制器的基本原理(2) 基于DDS技术的FSK调制器的整体设计(二)设计原理及方案 1.DDS技术工作原理 DDS即直接数字合成器,是一种新型的频率合成技术。具有较高的频率分辨率,可以在改变时能够保持相

21、位连续,容易实现幅度、频率和相位的数控调制,另外还能实现快速的频率切换。因此,在现代电子系统设备的频率源设计中,特别是在通信领域,直接数字频率合成器的应用十分广泛。 对于正弦信号发生器,它的输出可以用下式来表示: 其中,是指该信号发生器的输出信号波形,指输出信号对应的频率。上式表明对于时间t而言,波形是连续的,为了用数字逻辑来实现该表达式,必须对其进行离散化处理,故采用标准的时钟clk来进行抽样,令正弦信号的相位为: =在一个clk周期Tclk,相位的变化量为: 其中指clk的频率对于2可以理解成“满”相位,为了对进行数字量化,把2切割成,由此每个clk周期的相位增量用量化值来表述:,且为整数

22、。与上式联立,可得: 显然,信号发生器的输出可描述为: 其中指前一个clk周期的相位值,同样得出: 由上面的推导可以看出,只要对相位的量化值进行简单累加运算,就可以得到正弦信号的当前相位值,而用于累加器的相位增量量化值决定了信号的输出频率,并呈现简单的线性关系。DDS就是根据上述原理而设计的数控频率合成器。由上面的推导过程可得出:2FSK调制器原理 FSK(频移键控)是用数字信号来控制正弦波的频率,使正弦波的频率随数字信号的变化而变化。FSK信号以不同频率值的正弦波来表示数字码元,如果有M个码元,则选择M个频率值:(i=1,2,.,M),与M个码元一一对应。FSK信号的数字表达式为: (m=1

23、,2,.,M;)其中为M个频率之间的频率差。二进制FSK信号是用两个不同频率,的正弦波形来分别对应数字码元“1”和“0”,即: 发送“1” S(t)= 发送“0”式中,假设码元的初始相位分别为和;和为两个不同频率码元的角频率;A为一常数,表明码元的包络是矩形脉冲。如图1: 图1 二进制FSK信号波形 2FSK信号的产生方法主要有两种。第一种是用二进制基带矩形脉冲信号去调制一个调频器,使其能够输出两个不同频率的码元;第二种方法是用一个受基带脉冲控制的开关电路去选择两个独立频率源的振荡作为输出。两种方法产生的2FSK信号的波形基本相同,只是有一点差异,即由调频器产生的2FSK信号在相邻码元之间的相

24、位是连续的;而开关法产生的2FSK信号,则分别由两个独立的频率源产生两个不同频率信号,故相邻码元相位不一定连续的。(1) 开关选择法 图2 开关选择法产生2FSK信号原理图当数字基带信号 s(t)=1时,开关选通振荡器 1,输出频率信号;当数字基带信号 s (t ) = 0时,开关选通振荡器 2,输出频率信号。由于开关是在两个相互独立的振荡器之间进行切换,因此采用开关选择法输出的信号波形是断断续续相位不连续的。如图3所示为相位不连续的 FSK 信号波形。 图3 相位不连续的FSK信号波形 (2)直接调频法 图4 直接调频法产生2FSK信号原理图 由于开关选择法是使用数字矩形脉冲信号,控制电子开

25、关在两个相互独立不同频率振荡器之间进行不停地切换,使得输出的 FSK 信号频率在两个频率点间发生着突发切换,产生的 FSK 信号相位不连续。FSK 信号的相位不连续性,一般都会使功率谱产生较大的旁瓣分量,导致产生信号的频谱中高频分量增加,信号频谱不纯,引起包络的起伏。因此为了克服这个缺点,必须控制 FSK 信号的相位连续性。直接调频法通过调频器直接改变载波的频率参数,来调制单一载波,产生的FSK 信号在频率切换时相位是连续变化的,不产生相位突变,通常称这种调制为相位连续 FSK(Continuous Phase FSK, CPFSK)。直接调频法产生的 CPFSK 信号由于相位连续,且占用信道

26、频带较窄,较开关选择法有着显著的优势。工程项目应用上一般采用直接调频法来产生 FSK 信号。相位连续 FSK 信号波形如图5 所示。 图5 相位连续FSK信号波形 3. 基于DDS技术的FSK调制器的整体设计 本论文的设计目标是,基于DDS技术,在FPGA上完成FSK调制的功能,系统总体设计方案如图6: FPGA 调制器 DDS 数字基带信号FSK调制波形 图6 基于DDS技术的FSK调制器整体设计在该系统中,FPGA将接收到的数字基带信号送入调制器,调制器根据接收到的数字基带信号产生相应的频率控制字,并输出以控制DDS产生调制器所需频率的正弦幅值信号作为FSK调制信号,然后FPGA将已调FS

27、K信号输出给数、模转换器(DAC)。经DAC进行数、模转换后,即可得到适于线上传输的模拟正弦载波。 三、各单元电路设计(一)DDS整体结构设计相位控制字输入一个基本的DDS结构,主要由相位累加器、相位调制器、正弦ROM查找表和DAC构成,如图7所示。图中的相位累计器、相位调制器、正弦ROM查找表是DDS结构中的数字部分。DAC正弦ROM查找表寄存器+频率控制字输入NMMM 系统时钟CLK相位累加器相位调制器 图7 DDS整体结构1.相位累加器 相位累加器是整个DDS的核心,在这里主要实现的是上文原理推导中的相位累加功能。相位累加器的输入是相位增量,又由于与输出频率是简单的线性关系:。相位累加器

28、的输入又可称为频率字输入。频率字输入在图中还经过了一组同步寄存器,使得当频率字改变时,相位累加器不会被干扰,仍然能够正常工作。 在本设计中,相位累加器主要由一个32位计数器构成,在系统时钟脉冲clk的作用下,每进入一个时钟脉冲,输出数据将增加一个频率控制字,即:输出dout=dout+。为了设计更方便,令高于27和低于20的出入位为0,而真正的频率控制字为8位。从而实现相位累加功能,累加器实现结构如图8:fw31:0 dout频率控制字27:20 clk phase31:0图8 相位累加器 2.相位调制器 相位调制器是接受相位累加器的相位输出,在这里加上一个相位偏移值,主要用于信号的相位调制,

29、如PSK(相移键控)等,在不使用时可以去掉该部分,或者加上一个固定的相位字常数作为输入。相位字输入最好也用同步寄存器保持同步。需要注意的是,通常情况下,相位字输入的数据宽度M与频率字输入的数据宽度N往往是不相同的,它们的关系一般是MN。在本设计中,相位调制器主要是由一个10位的加法器和10位寄存器构成,相位累加器的输出与相位控制字相加,使输出信号产生相移,这里相位累加器的输出选取高10位作为调制器的输入。如图9:in qoutA A+BBphase31:22 phase_add相位控制字clk 图9 相位调制器本论文主要谈论的是FSK数字调制器,因此对于相位的调节不是必须的,故此处的信号的相位

30、控制字默认为零值。 3.正弦波形数据存储ROM(查找表)正弦波形数据存储ROM(查找表)完成的查表转换,也可以理解成相位到幅度的转换,它的输入是相位调制器的输出,事实上就是ROM的地制值;输出送往DAC,转换成模拟信号。由于相位调制器的输出数据位宽M也是ROM的地址位宽,因此在实际的DDS结构中N往往很大,而M为10位左右。M太大会导致ROM容量的成倍上升,而输出精度受DAC位数的限制未必有大的改善。正弦波形数据ROM模块的地址线是10位,数据线位宽是8位,即一个周期的正弦波数据有1024个,每个数据有8位,其中该模块采用 LPM模块的VHDL文本调用方式实现,具体实现方法见下文的ROM的设计

31、与仿真。4.DAC数模转换电路的数模转换电路是将数字信号变为模拟信号,本设计通过D/A转换电路将产生的离散数字量转变为模拟量,从而能通过示波器观察波形。而本方案采用DAC0832芯片的直通方式。 DAC0832是采样频率为八位的D/A转换芯片,它的内部由三部分电路组成(见下图10):“8位输入寄存器”、“8位DAC寄存器”、“8位D/A转换电路”。集成电路内有两级输入寄存器, 使DAC0832芯片具备直通、单缓冲和双缓冲三种输入方式,以便适于各种电路的需要(如要求多路D/A同步转换或是异步输入等)。 图10 DAC0832原理图D/A转换结果采用电流形式输出,而一般我们需要的是相应的模拟电压信

32、号,因此通常会在D/A转换芯片后接一个高输入阻抗的线性运算放大器,将电流转换成相应的电压。运放的反馈电阻可通过RFB端引用片内固有电阻,也可外接。DAC0832引脚功能说明: Vcc:电源输入线(+5v+15v)Vref:基准电压输入线(-10v+10v)AGND:模拟地,摸拟信号和基准电源的参考地. DGND:数字地,两种地线在基准电源处共地比较好. CS:片选信号输入线,低电平有效。WR1:为输入寄存器的写选通信号。WR2:为DAC寄存器写选通输入线。ILE:数据锁存允许控制信号输入线,高电平有效。 XFER:数据传送控制信号输入线,低电平有效。DI0DI7:数据输入线,TLL电平。 Rf

33、b:反馈信号输入线,芯片内部有反馈电阻. Iout1:电流输出线。当输入全为1时Iout1最大。 Iout2: 电流输出线。其值与Iout1之和为一常数。 DAC0832是8位数模转换器,基准电压为-5v,输出电压的计算公式为: U=D*/256其电路如图11: 图11 DAC0832仿真图(二)调制器 调制器即一个选择器,根据输入的基带信号的值不同而输出不同的频率控制字,与的关系为:。输入与输出的关系如下式: 04H 输入为“1”(此时的频率为:) 08H 输入为“0”(此时的频率为:)(三)数字基带信号 方法一:由本设计的要求,该系统的输入信号是一个二进制基带信号,可通过对时钟信号进行分频

34、,得到所需要的在“1”,“0”之间变换的二进制信号。具体实现方法是:通过一个计数器对时钟信号进行计数,每来一个时钟上升沿,就加1,当加到512时,就在“1”,“0”之间变换一次,模拟出一个数字基带信号。 方法二:调用锁相环ALTPLL宏模块,该模块能与一个输入的时钟信号保持同步状态,并以此信号作为它的参考信号,产生倍频或分频的片内时钟信号,以供系统使用,可通过这种方法产生基带信号。根据本设计的要求,基带信号为1时,产生2个正弦波,基带信号为0时,产生4个正弦波,故通过计算得所需要的时钟频率为12.2khz。 因方法二直接调用模块,方法更简单,故选择方法二。具体调用方法见软件设计及仿真部分。 四

35、、软件设计及仿真(一)硬件逻辑电路设计流程 由于本设计主要用到的仿真软件是Quartus ,故详细的介绍基于Quartus 的一般设计和测试流程。Quartus II 是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。1.编辑和输入设计文件任何一项EDA都是一项工程,都必须首先为此工程建立一个放置与此工程相关的所有设计文件的文件夹。此文件夹将被EDA软件默认为工作库。

36、一般地,不同的设计项目最好放在不同的文件夹中,而同一工程的所有文件都放在同一个文件夹中。还应特别注意,不要将工程文件夹设在计算机已有的安装目录中。在建立了文件夹后就可以将设计文件通过Quartus 的文本编辑器编辑并存盘了。具体步骤如下:(1)新建一个文件夹,需注意文件名不能用中文,也最好不要用数字。(2)输入源程序,打开Quartus ,选择File New命令。在New窗口中的Design Files栏选择编译文件的语言类型,这里选择Verilog HDL File选项(3) 文件存盘,选择File Save As命令,找到已设立的文件夹,存盘名应该与实体名一致。2.创建工程 在此要利用N

37、ew Project Wizard工具选项创建此设计工程,并设定此工程的一些相关信息。 (1)打开并建立新工程管理窗口。选择File New Project Wizard命令,设定此项工程的工程名,工程名可以去任何其他名,也可直接用顶层文件的模块实体名以及当前工程的顶层文件的实体名。(2) 将设计文件加入工程中,单击Next按钮,在弹出的对话框中单击File栏后的按钮,将与工程相关的所有Verilog文件都加入此工程。(3)选择目标芯片,单击Next按钮,选择目标器件,首先Device Family下拉列表框中选择芯片系列,在此选Cyclone 系列。设定此系列的具体芯片EP3C5E144C8

38、.(4)结束设置,再单击Next按钮后即弹出工程设置统计窗口,上面列出了此项工程相关设置情况。最后单击Finish按钮,设定好此工程。3.全程综合与编译编译前首先选择Processing Start Compilation命令,启动全程编译。编译过程中要注意工程管理窗口下方的Processing处理栏中的编译信息。如果工程中的文件有错误,启动编译后,在下方Processing处理栏中会显示出来,对于Processing栏显示出语句格式错误,可双击栏此条文,即弹出对应层次的Verilog文件,在深色标记条处即为文件中的错误,改错后再次进行编译直至排除所有错误。若编译成功,可见到工程管理窗口的左上

39、角显示工程的层次结构和其中结构模块耗用的逻辑宏单元数。 4.仿真测试 工程编译通过后,必须对其功能和时序性质进行仿真测试,以了解设计结构是否满足原设计要求。以VWF文件方式的仿真流程的详细步骤如下: (1)打开波形编辑器,选择File New命令,在New窗口中选择Vector Waveform File选项。单击OK按钮,即出现空白的波形编辑器。(2)设置仿真时间区域,对于时序仿真来说,将仿真时间轴设置在一个合理的时间区域上十分重要。通常设置时间范围在数十微妙间。选择Edit End Time命令,在弹出的窗口中的Time文本框输入50,单位选s,整个仿真域的时间即设定为50s,单击OK按钮

40、,结束设置。 (3)波形文件存盘,选择File Save As命令,将以默认名的波形存入文件夹。(4)将工程的端口信号节点选入波形编辑器中,方法是首先选择View Utility Windows Node Finder命令,在Filter下拉列表框中选“Pins:all”,通常默认选此项。(5)编辑输入波形(输入激励信号),单价左边窗口中的时钟信号名CLK,使之变成蓝色条,在单击左列的时钟设置键,在时钟窗中设置CLK的时钟周期为1s,占空比Duty cycle默认50,然后分别设置各个输入的电平。 (6)总线数据格式设置和参数设置,对于总线数据格式的输入双击此信号左边的“+”,将弹出对该信号数

41、据格式设置的Node Properties对话框,在对话框的Radix的下拉列表框中有4种选择,这里可选择十六进制Hexadecimal表达方式,另外要对该信号设置输入数据,用鼠标在该信号的某一数据区拖拉出一块蓝色区域,然后在左侧选择需要的数据类型,并在弹出的窗口中键入数据。 (7)仿真器参数设置,选择Assignment Settings命令在Settings窗口下选择Category Simulator Settings。在右侧的Simulation mode下拉列表框中选择Timing,即选择时序仿真,并选择仿真激励文件名。选择Simulation period栏,确认选“Runsimu

42、lationuntil all vector stimuli are used”。 (8)启动仿真器并观察仿真结果,现在所有设置进行完毕后,选择Processing Start Simulation命令,直到出现Simulation was successful,仿真结束,如果希望观察到可形成类似模拟波形的数字信号波形,可以右击所要观察的总线信号名,在弹出的菜单中选择总线显示模式Bus Display Format为Unsigned Line Chart,即可获得“模拟”信号波形。(二)DDS技术软件设计与仿真 1.32位计数器仿真 图12 计时器仿真图 2.10位加法器仿真图13 加法器仿真

43、 3.10位寄存器 图14 寄存器仿真 4.ROM的设计与仿真 在涉及RAM和ROM等存储器应用的EDA设计开发中,调用LPM模块类存储器是最方便、最经济、最高效和性能最容易满足设计要求的途径。LPM是参数可设置模块库的缩写,在许多设计中,必须利用宏功能模块才可以使用一些Altera器件中特定模块的硬件功能,例如各类片上存储器、DSP模块、嵌入式锁相环PLL等。设计者可以根据实际电路的设计需要,选择LPM库中的适当模块,并为其设定适当的参数,就能满足自己的设计需要。 设计步骤如下: (1).建立.mif格式文件 首先,在Quartus 中打开MIF文件编辑窗,即选择File下的New命令,并在New窗口中选择Memory File栏的Memory Initialization File项,单击按钮OK后产生MIF数据文件大小选择窗口,在此根据存储器的地址和数据宽度选择参数,根据本设计,对应地址线是10位,选Number为1024,对应数据宽为8位,选择Word size为8位,按OK按钮,将出现.mif数据表格,将此数据文件保存为sin_rom.mif。然后用C语言等软件生成正弦波数据值(C程序见附录),并用记事本的方式打

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号