基于FPGA的FIR滤波器的设计与仿真.doc

上传人:laozhun 文档编号:4141590 上传时间:2023-04-07 格式:DOC 页数:41 大小:1.74MB
返回 下载 相关 举报
基于FPGA的FIR滤波器的设计与仿真.doc_第1页
第1页 / 共41页
基于FPGA的FIR滤波器的设计与仿真.doc_第2页
第2页 / 共41页
基于FPGA的FIR滤波器的设计与仿真.doc_第3页
第3页 / 共41页
基于FPGA的FIR滤波器的设计与仿真.doc_第4页
第4页 / 共41页
基于FPGA的FIR滤波器的设计与仿真.doc_第5页
第5页 / 共41页
点击查看更多>>
资源描述

《基于FPGA的FIR滤波器的设计与仿真.doc》由会员分享,可在线阅读,更多相关《基于FPGA的FIR滤波器的设计与仿真.doc(41页珍藏版)》请在三一办公上搜索。

1、郑州轻工业学院电子技术课程设计 题 目 基于FPGA的FIR滤波器 的 程 序 设 计学生姓名 李 振 伟 专业班级 电子信息工程10-01 学 号 541001030118 院 (系) 电气信息工程学院 指导教师 耿鑫 完成时间 2013年06月22日 郑州轻工业学院课 程 设 计 任 务 书题目 基于FPGA的FIR滤波器的程序设计 专业、班级 电子信息工程10-01学号541001030118姓名 李 振 伟 主要内容、基本要求、主要参考资料等:主要内容:要求学生使用硬件描述语言(Verilog 或者 VHDL)设计基于FPGA的FIR滤波器的源程序。FIR滤波器的阶数为8;FIR滤波器

2、的类型为低通,截止频率可以自行设计;FIR滤波器的系数可借助Matlab FDA tool 给出。基本要求:1、 学会quartusII的使用,掌握FPGA 的程序设计方法。2、学会 MATLAB 的使用,掌握Matlab FDA tool的使用。3、掌握硬件描述语言语法。 4、程序设计完成后要求在quartusII中实现功能仿真。主要参考资料:1、周润景.基于Quartus的FPGA/CPLD数字系统设计实例M.电子工业出版社.2007,82、褚振勇. FPGA设计及应用(第三版)M.西安电子科技大学出版社.2012,43、陈怀琛.MATLAB及在电子信息课程中的应用M.北京:电子工业出版社

3、.2008,1完 成 期 限: 2013.6.212013.6.25 指导教师签名: 课程负责人签名: 2013年 6月 18日基于FPGA的FIR滤波器的程序设计中 文 摘 要线性相位是FIR数字滤波器设计中的一个重要条件。线性相位FIR滤波器的设计方法主要包括时窗函数法、频率采样法、等波纹最佳逼近法。本文基于MATLAB软件,分别用这三种方法设计FIR低通滤波器,并进行比较分析。为了验证FIR滤波器的性能,本文使用MATLAB自带的FDATool工具设计等波纹法15阶低通滤波器,在Simulink里进行仿真,最后得到预期的结果。设计好15阶FIR低通滤波器的系数后,本文就FIR低通滤波器的

4、FPGA实现进行了研究。EDA技术的发展和大规模可编程逻辑器件的应用,使得数字信号处理借助于FPGA实现变为可能。为了实现这一目标,本文讨论了FPGA器件的结构、特点和分布式算法实现FIR滤波器的可行性,然后给出了基于并行分布式算法的FIR滤波器的VHDL描述,最后借助于EDA软件QUARTUSII进行了综合和仿真,并取得了成功。关键词:分布式算法 FIR数字滤波器 线性相位 DSP Builder目 录中文摘要.I目 录11 绪 论21.1 课题的目的和意义21.2 FPGA技术的发展及应用32 FPGA软件设计工具Quartus II43 FIR数字滤波器设计53.1 FIR数字滤波器53

5、.1.1 数字滤波器简介53.1.2 FIR数字滤波器63.1.3 FIR数字滤波器的结构8四、设计方案10五、设计内容及结果分析115.1基于matlab的FIR滤波器系数计算115.2 单元器件的编辑及仿真155.2.1、寄存器模块155.2.2、加法器模块165.2.3、减法器模块:215.2.4、乘法器模块:225.3 顶层原理图的编辑及仿真30六、心得体会35参 考 文 献36附 录371 绪 论1.1 课题的目的和意义在当今的生活中,身边的工程技术领域越来越受到关注。其中的通信领域所涉及到的各种信号更是重中之重。如何在较强的背景的噪声下和干扰的信号下有效提炼出真正的有用信号并将其真

6、正运用到实际的工程中,这正是信号处理要解决的问题。上世纪60年代,数字信号处理在理论层上发展迅猛。其体系和框架逐渐成熟,如今,数字信号处理已经成为一门完整的学科。其涉及到许多学科而又广泛应用于许多领域,20世纪60年代以来,随着计算机和信息技术的飞速发展,数字信号处理技术应运而生并得到迅速的发展。数字信号处理是一种通过使用数学技巧执行转换或提取信息,来处理现实信号的方法,这些信号由数字序列表示。而数字滤波器在这门学科中占有很重要的地位。数字滤波器是一个离散时间系统(按预定的算法,将输入离散时间信号要求的输出离散时间信号的转换为所特定功能装置)。应用数字滤波器处理模拟信号时,首先须对输入模拟信号

7、进行限带、抽样和模数转换。数字滤波器输入信号的抽样率应大于被处理信号带宽的两倍,其频率响应具有以抽样频率为间隔的周期重复特性,且以折叠频率即1/2抽样频率点呈镜像对称。为得到模拟信号,数字滤波器处理的输出数字信号须经数模转换、平滑。数字滤波器具有高精度、高可靠性、可程控改变特性或复用、便于集成等优点。数字滤波器在语言信号处理、图像信号处理、医学生物信号处理以及其他应用领域都得到了广泛应用。它涉及到的领域很广,如通信系统,系统控制,生物医学工程,机械振动,遥感遥测,地质勘探,故障检测,电力系统,航空航天,自动化仪器等。数字滤波器的好坏对相关的众多工程技术领域影响很大,一个好的数字滤波器会有效的推

8、动众多的工程技术领域改造和学科发展。所以对数字滤波器的工作原理,硬件结构和实现方法进行研究具有一定的意义。FPGA(FieldProgrammable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Outpu

9、t Block)和内部连线(Interconnect)三个部分。 现场可编程门阵列(FPGA)是可编程器件。与传统逻辑电路和门阵列(如PAL,GAL及CPLD器件)相比,FPGA具有不同的结构,FPGA利用小型查找表(161RAM)来实现组合逻辑,每个查找表连接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动I/O,由此构成了即可实现组合逻辑功能又可实现时序逻辑功能的基本逻辑单元模块,这些模块间利用金属连线互相连接或连接到I/O模块。FPGA的逻辑是通过向内部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及各模块之间或模块与I/O间的联接方式,并最终

10、决定了FPGA所能实现的功能, FPGA允许无限次的编程.1.2 FPGA技术的发展及应用FPGA正处于高速发展时期,新型芯片的规模越大,成本也越来越低,低端的FPGA已逐步取代了传统的数字元件,高端的FPGA将会成为今后竞争的主流。自1985年问世以来,FPGA从集成电路与系统家族一个不起眼的小角色逐渐成为电子设计领域的重要器件。它极大地提高了设计灵活性并缩短了产品上市时间,在通信、工业控制、航空领域中广泛应用。FPGA行业集中度很高,几家美国公司掌握着行业的“制空权”。特别是在航空航天及军工等特殊领域,美国等少数国家对先进的技术保持封锁。因此,发展国内FPGA产业不是要不要的问题,而是怎么

11、发展的问题。国内IC企业介入FPGA的时间并不长,多数公司还处于学习阶段。Altera公司和Xilinx公司为代表的FPGA厂商,除了在FPGA产品线上不断推陈出新之外,也在不懈地提高开发软件的设计能力,他们的软件产品在很多方面一点也不逊色于专业的EDA厂商,所以从这个角度来说,FPGA厂商也是EDA公司。这里的代表性产品就是Altera公司的Quartus II开发软件和Xilinx公司的ISE开发软件。Altera的FPGA开发工具已经经历了四代。从最初的基于DOS的A+Plus,发展到Max+Plus,1991年推出基于Windows的开发工具Max+Plus II。Max+Plus I

12、I在FPGA设计工具里是一个划时代的产品,它提供了一种与结构无关的图形化设计环境,功能强大,使用方便。设计者无须精通器件内部的复杂结构,而只需要使用自己熟悉的设计输入工具(如原理图或者HDL语言)把自己的设计输入到计算机中,Max+Plus II就会自动把这些设计转换成最终结构所需的格式,用户只要把最后生成的配置数据通过下载电缆下载到芯片中,即完成了所有的工作。Quartus II是Altera公司在2001年推出的第四代开发工具,是一个集成化的多平台设计环境,能够直接满足特定设计需要,在FPGA和CPLD设计各个阶段都提供了工具支持,并为可编程片上系统(SOPC)提供全面的设计环境,是一个系

13、统级的高效的EDA设计工具。而且,随着器件结构和性能的不断提高,器件集成度的不断扩大,Altera始终能够同步推出与之相适应的开发工具,满足了设计者的要求,近年来一直保持着一年一个新版本的更新进度。2 FPGA软件设计工具Quartus IIAltera公司和Xilinx公司为代表的FPGA厂商,除了在FPGA产品线上不断推陈出新之外,也在不懈地提高开发软件的设计能力,他们的软件产品在很多方面一点都不逊色于专业的EDA厂商,所以从这个角度来说,FPGA厂商也是EDA公司。这里的代表性产品就是Altera公司的Quartus II开发软件和Xilinx公司的ISE开发软件。Altera的FPGA

14、开发工具已经经历了四代。从最初的基于DOS的A+Plus,发展到Max+Plus,1991年推出基于Windows的开发工具Max+Plus II。Max+Plus II在FPGA设计工具里是一个划时代的产品,它提供了一种和结构无关的图形化的设计环境,功能强大,使用方便。设计者无需精通器件内部的复杂结构,而只需要使用自己熟悉的设计输入工具(如原理图或者HDL语言)把自己的设计输入到计算机中,Max+Plus II就会自动把这些设计转换成最终结构所需的格式,用户只要把最后生成的配置数据通过下载电缆下载到芯片中,即完成了所有的工作。Quartus II是Altera公司在2001年推出的第四代开发

15、工具,是一个集成化的多平台设计环境,能够直接满足特定的设计需要,在FPGA和CPLD设计各个阶段都提供了工具支持,并为可编程片上系统(SOPC)提供全面的设计环境,是一个系统级的高效的EDA设计工具。而且,随着器件结构和性能的不断提高,器件集成度的不断扩大,Altera始终能够同步推出与之相适应的开发工具,满足了设计者的要求,近年来一直保持这一年一个新版本的更新进度。Altera公司的Quartus II软件是一种集编辑,编译,综合,布局布线,仿真与器件编程于一体的集成设计环境。Quartus II软件支持基于VHDL与Verilog HDL等硬件描述语言的设计和基于图形的设计,内部嵌有VHD

16、L和Verilong HDL的逻辑综合器,也支持利用第三方的综合工具进行逻辑综合。进行设计仿真时,既可以利用Quartus II软件自己的仿真工具,也可以利用如ModelSim等第三方仿真工具。Quartus II软件除了进行基于FPGA的一般的数字系统开发外。还可以与MATLAB和DSP Builder结合,进行基于FPGA的DSP系统开发;使用内嵌的SOPC Builder设计工具,配合Nios II IDE集成开发环境,进行基于Nios II软核处理器的嵌入式系统开发。 Quartus II软件的设计流程遵循典型的FPGA设计流程,包括设计输入,综合,布局布线,时序分析,仿真验证,编程配

17、置等设计步骤,以及与布局布线有关的功耗分析,调试,工程更改管理,与时序分析和仿真验证有关的时序逼近。3 FIR数字滤波器设计3.1 FIR数字滤波器数字滤波器在数字信号处理中属于预处理的部分,因而起着基础性的作用,数字滤波器包括IIR和FIR数字滤波器。数字滤波器具有精度高、稳定性好、灵活性强、不要求阻抗匹配,易于修改等特点。下面将首先介绍一下数字滤波器,然后重点讨论FIR数字滤波器的设计原理和结构。3.1.1 数字滤波器简介一个简单的数字滤波系统如图1-1所示。图中,x(t)为模拟信号,经过A/D转换器后变为一个有着先后顺序的数字序列x(n)。然后x(n)通过数字滤波系统H(z),即得到数字

18、滤波器的输出y(n)。H(z)为该数字滤波系统的单位脉冲响应h(n)的Z变换,即: (2-1)若h(n)为无限长序列,则得到的数字滤波器为IIR数字滤波器,又称递归滤波器;反之,若h(n)为有限长序列,则得到的数字滤波器为FIR滤波器,也称非递归滤波器。一个线形时不变因果滤波器可表示为: (2-2)其中N为h(n)的长度,即滤波器的长度。滤波器的阶数为N-1。图2-1 数字滤波系统 IIR滤波器主要是基于对模拟滤波器如巴特沃斯滤波器、椭圆滤波器等的幅频响应进行逼近,而其相频响应是非线性的。与IIR滤波器不同,FIR滤波器可以把相位特性设计成线性。这使得FIR数字滤波器在信号无失真传输、数据通信

19、、图像传输与处理、语音信号处理等有线性相位要求的领域应用广泛。FIR滤波器的优点是软硬件实现结构简单,不用考虑系统的稳定性问题;缺点是实现较高性能的频率响应需要较高的滤波器阶数。3.1.2 FIR数字滤波器由于线性相位FIR滤波器的广泛应用,本文将首先讨论一下如何实现线性相位FIR滤波器。由式(2-2)将代入,得到: (2-3)为复数,因此可以表示成如下形式: (2-4)式中,为实函数,称为幅度特性函数,为相位特性函数。 当满足: (2-5)为初始相位,为常数。该滤波器具有广义的线性相位,将(2-5)式变换为: (2-6)由(2-6)式可知, 不为常量。这样,当不同频率的信号通过该滤波器时,便

20、会产生相位的失真。不过通常较小,相比来说小得多,由引起的相位失真可忽略不计。 在(2-5)式中,当时,为常量,这时滤波器有严格的线性相位,即对于不同频率的信号,通过该滤波器都有恒定的延迟,而不产生相位的失真。 将式(2-3),(2-4),(2-5)联立,可得 (2-7a) (2-7b)将(1-7a)式除以(2-7b)式,消去 ,得到: =0 (2-8)当时,式(2-8)变为:=0 (2-9)观察可知,若关于求和区间中心奇对称,则(2-9)式成立。由于关于奇对称,令关于偶对称,则满足关于求和区间中心奇对称的要求,即(2-9)式成立。 当时,式(2-8)变为: (2-10)若关于奇对称,关于偶对称

21、,则(2-10)式成立。 基于的对称不同和长度N的奇偶区别,线性相位FIR数字滤波器的幅度频率特性有所不同,因而所实现的滤波器的功能有所不同,具体如表1-1所示。第三列为能够实现的滤波器的性能。表2-1 四种类型的线性相位滤波器I型N为奇数低通、带通、高通、带阻II型N为偶数低通、带通III型N为奇数带通IV型N为偶数带通、高通3.1.3 FIR数字滤波器的结构 根据FIR数字滤波器实现算法的不同,可以把FIR滤波器的结构划分为直接型、级联型、频率采样型和快速卷积型四种基本形式。本文主要讨论前两种结构。A 直接型结构由式子(1-2)可直接画出FIR数字滤波器的直接型结构,如图1-2所示。对于直

22、接型结构来说,一个长度为N的FIR滤波器,每产生一个输出数据,要经过N次乘法,N-1次加法。对于使用FPGA开发FIR数字滤波器,这样的结果显然不令人满意。图2-2 直接型结构于是本文做了一下改进,这种改进是基于线性相位的FIR数字滤波器的。以严格线性相位,N为偶数的FIR滤波器为例,如图1-3所示。图2-3 直接型的改进由于关于对称,我们可以将经过延时环节的位置关于对称的数据预先相加,然后可以再乘以相应的滤波器系数进行累加得到最终的输出结果。这样,每产生一个输出,经过次乘法,次加法,比原来减少次乘法。B 级联型结构对式子(1-2)进行因式分解,并将零点共轭的因式放在一起,这样产生了若干个一阶

23、子式和二阶子式,将一阶子式看作二阶子式的一个特例,则系统函数可以表示为: (1-11)FIR数字滤波器的级联型结构如图1-4所示。图2-4 级联型结构从图中可以看出,级联型结构每产生一个输出,需要次乘法,次加法。级联型的最大特点是可以分别独立调整每个子系统的零点之值,当需要精确控制滤波器的零点位置时,往往采用这种结构。四、设计方案图4-1若N为偶数线性相位FIR滤波器的对称结构流图本设计取为偶对称的情况,则图中:应取“ +1 ”。由上图可分析得到,要完成滤波器的设计,需要设计的底层文件包括延时单元、加法电路单元、乘以负一单元、乘法器单元及截取10位数单元。由各单元VHDL编程后,生成相应的符号

24、文件。最后连接成顶层原理图。整个电路的原理图设置方案如图4-2所示:图4- 2 滤波器整体设计方案原理图五、设计内容及结果分析首先使用matlab计算出符合设计要求的滤波器冲激响应系数。后将整个电路规划为语言编辑和原理图编辑两个单元,其中语言编辑部分负责编辑整个滤波器电路中所需用的单元器件,包括寄存器、加法器、减法器以及乘法器几个单元器件;最后将所有的器件连接成顶层原理图。在进行编译及仿真。5.1基于matlab的FIR滤波器系数计算在matlab命令编辑窗口输入Fdatool指令,再点回车即可打开Filter Design & Analysis Tool窗口,在该工具的帮助下,我们就可以完成

25、f.i.r.滤波器系数的计算。Fdatool界面总共分两大部分,一部分是design filter,在界面的下半部分,用来设置滤波器的设计参数,另一部分则是特性区,在界面的上半部分,用来显示滤波器的各种特性。design filter部分主要分为:Response Type(响应类型)选项,包括Lowpass(低通)、Highpass(高通)、Bandpass(带通)、Bandstop(带阻)和特殊的滤波器。根据本次作业要求,在该选项中选择Lowpass选项。Design Method(设计方法)选项,包括IIR滤波器的Butterworth(巴特沃思)法、Chebyshev Type i(切

26、比雪夫i型)法、 Chebyshev Type ii(切比雪夫ii型) 法、Elliptic(椭圆滤波器)法等和Window(窗函数)法等多种方法。结合本次课设要求,选择FIR滤波器的窗函数法进行设计。选定窗函数法后,会在右侧出现Options区域,进行窗函数法相关参量的设置,根据作业要求选择Kaiser窗并设置Beta为:0.5。Filter Order(滤波器阶数)选项,定义滤波器的阶数,包括Specify order(指定阶数)和Minimum order(最小阶数)。在Specify order中填入所要设计的滤波器的阶数(n阶滤波器,specify ordern-1),如果选择Min

27、imum order则matlab根据所选择的滤波器类型自动使用最小阶数。本次作业要求设计16阶滤波器,所以选定Specify order并填入15。Frenquency Specifications选项,可以详细定义频带的各参数,包括采样频率Fs和频带的截止频率。它的具体选项由Response Type选项和Design Metho选项决定。我们要求的 Lowpass(低通)滤波器只需要定义Fs=80 KHz、Fc=10 KHz。本次课设中的参数全部设定后的结果如图5-1所示。图5-1 参数全部设定后图参数设定完毕,单击工具窗口下方的Design Filter按钮,就开始进行相关参数计算。在

28、计算结果中可以看到该滤波器的一些相关曲线,如幅频响应(如图5-2)、相频响应(如图5-3)、冲激响应(如图5-4)等。图形如下:图5-2 幅频响应曲线图5-3 相频响应曲线图5-4 冲激响应计算的结果可通过File下拉菜单中的Export命令取出,点击Export打开Export对话框(如图5-5),点击Export按钮可将滤波器系数数据存放到当前工作空间,并以Num命名。图5-5 冲激系数输出对话框保存并关闭滤波器设计分析工具回到matlab主窗口,在命令编辑区输入Num可得到工具的计算结果(如图5-6)。图 5-6 输出在matlab的冲激系数对FIR滤波器的系数进行调整,做整数化操作。可

29、得到滤波器整数化的系数为-31 -88 -106 -54 70 239 401 499 499 401 239 70 -54 -106 -88 -31,如图 5-7所示:图5-7 整数化后的冲激系数5.2 单元器件的编辑及仿真5.2.1、寄存器模块在本次课设中延迟单元可用寄存器来替代,寄存器用于寄存一组二值代码,只要求它们具有置1、置0的功能即可。在本设计中使用带异步复位rst端的D触发器,当rst=1时,输出信号q=0,当rst=0且上升沿脉冲到达时q=d,即延迟了一个在周期。其程序代码如下:LIBRARY ieee; USE ieee.std_logic_1164.all;ENTITY j

30、icunqi ISPORT (rst,clk: IN STD_LOGIC; d:IN STD_LOGIC_VECTOR (9 DOWNTO 0); q:OUT STD_LOGIC_VECTOR (9 DOWNTO 0);END jicunqi;ARCHITECTURE dff16 OF jicunqi ISBEGIN PROCESS (rst,clk) BEGIN IF(rst=1)THEN q0); ELSIF(clkEVENT AND clk=1)THEN q=d; END IF; END PROCESS;END dff16;程序编译后就可进行仿真,仿真结果如图5-8所示:图5-8 寄存器

31、模块仿真结果由上图可知,与预期相符,即设计正确,再将其生成为一个元件以便后来调用,其生成图如图5-9 所示。图 5-9 寄存器元件图5.2.2、加法器模块即实现两个有符号数的相加运算。即将输入的两数,在时钟脉冲到来时相加运算,输出结果。在本设计中共有8个:两个10位有符号数相加产生一个11位有符号数的加法器、一个18位和19位有符号数相加产生20位有符号数的加法器、一个两个20位有符号数相加产生一个21位有符号数的加法器、一个两个19位有符号数相加产生一个20位有符号位数的加法器、一个20位和21位有符号数相加产生22位有符号数的加法器,以及一个20位和22位有符号数相加产生23位有符号数的加

32、法器电路。具体如下: 两个10位有符号数相加产生一个11位有符号数的加法器设计:由分析可写出如下程序:LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;ENTITY add101011 IS PORT(a,b: IN SIGNED(9 DOWNTO 0); clk: IN STD_LOGIC; s:OUT SIGNED(10 DOWNTO 0);END add101011;ARCHITECTURE sum101011 OF add101011 ISBEGIN PROCESS(clk) BEGIN IF(c

33、lkEVENT AND clk=1)THEN s=(a(9)&a)+(b(9)&b); END IF; END PROCESS;END sum101011;程序编译后就可进行仿真,仿真结果如图5-10所示:图5-10 两个10位有符号数相加结果波形图由上图可知,与预期相符,即设计正确,再将其生成为一个元件以便后来调用,其生成图如图5-11所示图5-11 两个10位有符号数相加元件图 18位和19位有符号数相加产生20位有符号数的加法器设计:由分析可写出如下程序:LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.a

34、ll;ENTITY add181920 IS PORT(a: IN SIGNED(17 DOWNTO 0); b: IN SIGNED(18 DOWNTO 0); clk: IN STD_LOGIC; s:OUT SIGNED(19 DOWNTO 0);END add181920;ARCHITECTURE sum7023918 OF add181920 ISBEGIN PROCESS(clk) BEGIN IF(clkEVENT AND clk=1)THEN s=(a(17)&a(17)&a)+(b(18)&b); END IF; END PROCESS;END sum7023918;程序编译

35、后就可进行仿真,仿真结果如图5-12所示:图5-12 18位和19位有符号数相加结果波形图由上图可知,与预期相符,即设计正确,再将其生成为一个元件以便后来调用,其生成图如图5-13 所示图5-13 18位和19位有符号数相加元件图 两个20位有符号数相加产生一个21位有符号数的加法器设计:由分析可写出如下程序: LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;ENTITY add202021 IS PORT(a: IN SIGNED(19 DOWNTO 0); b: IN SIGNED(19 DOWNT

36、O 0); clk: IN STD_LOGIC; s:OUT SIGNED(20 DOWNTO 0);END add202021;ARCHITECTURE sum40149919 OF add202021 ISBEGIN PROCESS(clk) BEGIN IF(clkEVENT AND clk=1)THEN s=(a(19)&a)+(b(19)&b); END IF; END PROCESS;END sum40149919;程序编译后就可进行仿真,仿真结果如图5-14所示:图 5-14 两个20位有符号数相加结果波形图由上图可知,与预期相符,即设计正确,再将其生成为一个元件以便后来调用,其

37、生成图如图5-15所示图 5-15 两个20位有符号数相加元件图 两个19位有符号数相加产生一个20位有符号位数的加法器设计:由分析可写出如下程序:LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;ENTITY add191920 IS PORT(a: IN SIGNED(18 DOWNTO 0); b: IN SIGNED(18 DOWNTO 0); clk: IN STD_LOGIC; s:OUT SIGNED(19 DOWNTO 0);END add191920;ARCHITECTURE sum18

38、1819 OF add191920 ISBEGIN PROCESS(clk) BEGIN IF(clkEVENT AND clk=1)THEN s=(a(18)&a)+(b(18)&b); END IF; END PROCESS;END sum181819;程序编译后就可进行仿真,仿真结果如图5-16所示:图 5-16 两个19位有符号数相加结果波形图由上图可知,与预期相符,即设计正确,再将其生成为一个元件以便后来调用,其生成图如图5-17所示图 5-17 两个19位有符号数相加元件图 20位和21位有符号数相加产生22位有符号数的加法器:由分析可写出如下程序:LIBRARY ieee;USE

39、 ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;ENTITY add202122 IS PORT(a: IN SIGNED(19 DOWNTO 0); b: IN SIGNED(20 DOWNTO 0); clk: IN STD_LOGIC; s:OUT SIGNED(21 DOWNTO 0);END add202122;ARCHITECTURE sum192021 OF add202122 ISBEGIN PROCESS(clk) BEGIN IF(clkEVENT AND clk=1)THEN s=(a(19)&a(19)&a)+

40、(b(20)&b); END IF; END PROCESS;END sum192021;程序编译后就可进行仿真,仿真结果如图5-18所示:图 5-18 20位和21位有符号数相加结果波形图由上图可知,与预期相符,即设计正确,再将其生成为一个元件以便后来调用,其生成图如图5-19 所示图5-19 20位和21位有符号数相加元件图 20位和22位有符号数相加产生23位有符号数的加法器电路设计(最后一级带舍位):在此加法器电路中在引入低位舍去功能只保留最终10位输出,最终保留10位输出采用了直接取输出23位数的高十位的方法,因此在输出中近似等于除掉了213即8192以后的结果。为了比较,特又引出了

41、一个23位全输出引脚(quan)。其程序如下:LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;ENTITY add202223 IS PORT(a: IN SIGNED(19 DOWNTO 0); b: IN SIGNED(21 DOWNTO 0); quan: OUT SIGNED(22 DOWNTO 0); clk: IN STD_LOGIC; s:OUT SIGNED(9 DOWNTO 0);END add202223;ARCHITECTURE sum192110 OF add202223 ISB

42、EGIN PROCESS(clk) VARIABLE c:SIGNED(22 DOWNTO 0); BEGIN IF(clkEVENT AND clk=1)THEN c:=(a(19)&a(19)&a(19)&a)+(b(21)&b); END IF; s=c(22 DOWNTO 13); quan= c; END PROCESS;END sum192110;程序编译后就可进行仿真,仿真结果如图5-20所示图 5-20 20位和22位有符号数相加 结果波形图由上图可知,与预期相符,即设计正确,再将其生成为一个元件以便后来调用,其生成图如图5-21所示;图 5-21 20位和22位有符号数相加元

43、件图5.2.3、减法器模块:它实现零值减去两个有符号数的减法运算。即用零值减去输入的两数,在时钟脉冲到来时做减法运算,输出结果。注意:-31和-88的乘结果都只包含了乘系数31和88的数值,并没有将两个负号代入,所以两乘法器后面的加法器运算改为减法器模块,采用0-31*累加结果-88*累加结果的方法,实现(-31)*累加结果+(-88)*累加结果的计算。-106和-54后面的加法器采用同样的方式处理。 -31和-88的减法器设计:由分析可写出如下程序:LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;ENTITY jian3188 isPORT(clk : in STD_LOGIC; Din1 :in signed (15 downto 0); Din2 :in signed (17 downto 0); Dout :out signed(18 downto 0);END jian3188;

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号