通信课程设计PCM通信系统设计.doc

上传人:文库蛋蛋多 文档编号:4142631 上传时间:2023-04-07 格式:DOC 页数:22 大小:431.50KB
返回 下载 相关 举报
通信课程设计PCM通信系统设计.doc_第1页
第1页 / 共22页
通信课程设计PCM通信系统设计.doc_第2页
第2页 / 共22页
通信课程设计PCM通信系统设计.doc_第3页
第3页 / 共22页
通信课程设计PCM通信系统设计.doc_第4页
第4页 / 共22页
通信课程设计PCM通信系统设计.doc_第5页
第5页 / 共22页
点击查看更多>>
资源描述

《通信课程设计PCM通信系统设计.doc》由会员分享,可在线阅读,更多相关《通信课程设计PCM通信系统设计.doc(22页珍藏版)》请在三一办公上搜索。

1、课程设计任务书题 目: PCM通信系统设计初始条件:具备通信课程的理论知识;具备模拟与数字电路基本电路的设计能力;掌握通信电路的设计知识,掌握通信电路的基本调试方法;自选相关电子器件;可以使用实验室仪器调试。要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)1、PCM码速率128KB,两路时分复用,通信双方有线连接, 语音信号无明显失真,采用A律压缩13折线芯片; 2、系统时钟信号频率2.048MHZ,时隙同步信号频率为8KHZ;3、选用相应合适的芯片,设计确定电路形式,对单元电路和整体系统进行计算、仿真验证。4、进行系统仿真,调试并完成符合要求的课程设计说明书。

2、时间安排: 二十二周一周,其中3天硬件设计,2天硬件调试指导教师签名: 年 月 日系主任(或责任教师)签名: 年 月 日目录摘要I1 理论基础11.1 PCM系统结构11.2 PCM调制原理11.2.1 抽样11.2.2 量化11.2.3 编码42 TP3067介绍62.1 TP3067功能概述62.2 TP3067内部逻辑框图62.3 TP3067引脚排列图与功能73 工作原理分析94 实验电路与仿真114.1 电路原理图114.2 仿真电路模块114.2.1 信号源子系统134.2.2 编码器模块134.2.3 译码器模块145 仿真结果16心得体会18参考文献19摘要SystemView

3、 仿真软件可以实现多层次的通信系统仿真。脉冲编码调制(PCM)是现代语音通信中数字化的重要编码方式。利用SystemView 实现脉冲编码调制(PCM)仿真,可以为硬件电路实现提供理论依据。本次课程设计将通过仿真展示PCM编码实现的设计思路及具体过程,并加以进行分析。关键词: PCM 脉冲编码 通信系统 SystemView 1 理论基础1.1 PCM系统结构PCM即脉冲编码调制,在通信系统中完成将语音信号数字化的功能,是把模拟信号数字化传输的基本方法之一。PCM的实现主要包括三个步骤:抽样、量化和编码。这三个步骤分别完成时间上离散、幅度上离散以及量化信号的二进制表示,把一个时间连续、取值连续

4、的模拟信号变换成时间离散、取值离散的数字信号,然后在信道中进行传输。接收机将收到的数字信号经再生、译码、平滑后恢复出原始的模拟信号。其过程表示如图1.1。图1.1 PCM通信过程原理1.2 PCM调制原理1.2.1 抽样所谓抽样,就是对模拟信号进行周期性扫描,把时间上连续的信号变成时间上离散的信号。该模拟信号经过抽样后还应当包含原信号中所有信息,也就是说能无失真的恢复原模拟信号。它的抽样速率的下限是由抽样定理确定的。1.2.2 量化从数学上来看,量化就是把一个连续幅度值的无限数集合映射成一个离散幅度值的有限数集合。如图1.2.2-1所示,量化器Q输出L个量化值,k=1,2,3,L。常称为重建电

5、平或量化电化器输入信号幅度落在与平。当量化器输入信号幅度落在与之间时,量化器输出电平为。这个量化过程可以表达为: 模拟入量化器量化值这里称为分层电平或判决阈值。通常称为量化间隔。图1.2.2-1 模拟信号的量化模拟信号的量化分为均匀量化和非均匀量化。由于均匀量化存在的主要缺点是:无论抽样值大小如何,量化噪声的均方根值都固定不变。因此,当信号较小时,则信号量化噪声功率比也就很小,这样,对于弱信号时的量化信噪比就难以达到给定的要求。通常,把满足信噪比要求的输入信号取值范围定义为动态范围,可见,均匀量化时的信号动态范围将受到较大的限制。为了克服这个缺点,实际中,往往采用非均匀量化。非均匀量化是根据信

6、号的不同区间来确定量化间隔的。对于信号取值小的区间,其量化间隔也小;反之,量化间隔就大。它与均匀量化相比,有两个突出的优点。首先,当输入量化器的信号具有非均匀分布的概率密度(实际中常常是这样)时,非均匀量化器的输出端可以得到较高的平均信号量化噪声功率比;其次,非均匀量化时,量化噪声功率的均方根值基本上与信号抽样值成比例。因此量化噪声对大、小信号的影响大致相同,即改善了小信号时的量化信噪比。实际中,非均匀量化的实际方法通常是将抽样值通过压缩再进行均匀量化。通常使用的压缩器中,大多采用对数式压缩。广泛采用的两种对数压缩律是压缩律和A压缩律。美国采用压缩律,我国和欧洲各国均采用A压缩律,因此,PCM

7、编码方式采用的也是A压缩律。所谓A压缩律也就是压缩器具有如下特性的压缩律: A律压扩特性是连续曲线,A值不同压扩特性亦不同,在电路上实现这样的函数规律是相当复杂的。实际中,往往都采用近似于A律函数规律的13折线(A=87.6)的压扩特性。这样,它基本上保持了连续压扩特性曲线的优点,又便于用数字电路实现,本设计中所用到的PCM编码正是采用这种压扩特性来进行编码的。图1.2.2-2示出了这种压扩特性。图1.2.2-2 13A律的量化特性曲线表1列出了13折线时的值与计算值的比较。表 10101按折线分段时的01段落12345678斜率16168421表1中第二行的值是根据时计算得到的,第三行的值是

8、13折线分段时的值。可见,13折线各段落的分界点与曲线十分逼近,同时按2的幂次分割有利于数字化。1.2.3 编码所谓编码就是把量化后的信号变换成代码,其相反的过程称为译码。当然,这里的编码和译码与差错控制编码和译码是完全不同的,前者是属于信源编码的范畴。在现有的编码方法中,若按编码的速度来分,大致可分为两大类:低速编码和高速编码。通信中一般都采用第二类。编码器的种类大体上可以归结为三类:逐次比较型、折叠级联型、混合型。在逐次比较型编码方式中,无论采用几位码,一般均按极性码、段落码、段内码的顺序排列。下面结合13折线的量化来加以说明。表2 段落码 表3 段内码段落序号段落码量化级段内码81111

9、51111141110711013110112110061011110111010105100910018100040117011160110301050101401002001300112001010001000100000在13折线法中,无论输入信号是正是负,均按8段折线(8个段落)进行编码。若用8位折叠二进制码来表示输入信号的抽样量化值,其中用第一位表示量化值的极性,其余七位(第二位至第八位)则表示抽样量化值的绝对大小。具体的做法是:用第二至第四位表示段落码,它的8种可能状态来分别代表8个段落的起点电平。其它四位表示段内码,它的16种可能状态来分别代表每一段落的16个均匀划分的量化级。这

10、样处理的结果,8个段落被划分成27128个量化级。段落码和8个段落之间的关系如表2所示;段内码与16个量化级之间的关系见表3。PCM编译码器的实现可以借鉴单片PCM编码器集成芯片,如:TP3067A、CD22357等。单芯片工作时只需给出外围的时序电路即可实现,考虑到实现细节,仿真时将PCM编译码器分为编码器和译码器模块分别实现。本实验系统选择TP3067芯片作为PCM编译码器,它把编译码器(Codec)和滤波器(Filter)集成在一个芯片上,功能比较强,它既可以进行A律变换,也可以进行u律变换,它的数据既可用固定速率传送,也可用变速率传送,它既可以传输信令帧也可以选择它传送无信令帧,并且还

11、可以控制它处于低功耗备用状态,到底使用它的什么功能可由用户通过一些控制来选择。TP3067可以组成模拟用户线与程控交换设备间的接口,包含有话音A律编解码器。自调零逻辑。话音输入放大器、RC滤波器、开关电容低通滤波器、话音推挽功放等功能单元。TP3067具有完整的话音到PCM和PCM到话音的A律压扩编解码功能。它的编码和解码工作既可同时进行,也可异步进行。2 TP3067介绍2.1 TP3067功能概述TP3067在一个芯片内部集成了编码电路和译码电路,是一个单路编译码器。其编码速率为2.048MHz,每一帧数据为8位,帧同步信号为8KHz。模拟信号在编码电路中,经过抽样、量化、编码,最后得到P

12、CM编码信号。在单路编译码器中,经变换后的PCM码是在一个时隙中被发送出去的,在其他的时隙中编译码器是没有输出的,即对一个单路编译码器来说,它在一个PCM帧(32个时隙)里,只在一个特定的时隙中发送编码信号。同样,译码电路也只是在一个特定的时隙(此时隙应与发送时隙相同,否则接收不到PCM编码信号)里才从外部接收PCM编码信号,然后进行译码,经过带通滤波器、放大器后输出。2.2 TP3067内部逻辑框图TP3067内部逻辑框图如图2.2所示。图2.2 TP3067内部逻辑框图2.3 TP3067引脚排列图与功能TP3067引脚排列如图2.3-1所示。图2.3-1 TP3067引脚排列(1)VPO

13、+:接收功率放大器的非倒相输出 (2)GNDA:模拟地,所有信号均以该引脚为参考点(3)VPO-:接收功率放大器的倒相输出 (4)VPI:接收功率放大器的倒相输入(5)VFRO:接收滤波器的模拟输出(6)Vcc:正电源引脚,Vcc=+5V+5% (7)FSR:接收帧同步脉冲,它启动BCLKR,于是PCM数据移入DR,FSR为8KHz脉冲序列。(8)DR:接收数据帧输入。PCM数据随着FSR前沿移入DR。(9)BCLKR/CLKSEL: 在FSR的前沿把输入移入DR时位时钟,其频率可以从64KH至2.048MHz。另一方面它也可能是一个逻辑输入,以此为在同步模式中的主时钟选择频率1.536MHz

14、、1.544MHz或2.048MHz,BCLKR用在发送和接收两个方向。(10)MCLKR/PDN:接收主时钟,其频率可以为1.536MHz、1.544MHz 或2.048MHz。它允许与MCLKx 异步,但为了取得最佳性能应当与 MCLKx 同步,当 MCLKR 连续连在低电位时,CLKx 被选用为所有内部定时,当MCLKR 连续工作在高电位时,器件就处于掉电模式。 (11)MCLKx:发送主时钟,其频率可以是1.536MHz、1.544MHz 或2.048MHz,它允许与MCLKR 异步,同步工作能实现最佳性能。 (12)BCLKx:把PCM数据从Dx 上移出的位时钟,其频率可以从64KH

15、z 至2.048MHz,但必须与MCLKx 同步。 (13)Dx:由FSx 启动的三态PCM数据输出。 (14)FSx:发送帧同步脉冲输入,它启动BCLKx 并使Dx 上PCM数据移出到Dx上。 (15)TSx:开漏输出。在编码器时隙内为低脉冲。 (16)ANLB:模拟环路控制输入,在正常工作时必须置为逻辑“0”,当拉到逻辑“1” 时,发送滤波器和发送前置放大器输出的连接线被断开,而改为和接收功率放大器的VPO+输出连接。 (17)GSx:发送输入放大器的模拟输出,用来在外部调节增益。 (18)VFxI - :发送输入放大器的倒相输入。 (19)VFxI + :发送输入放大器的非倒相输入。(2

16、0)VBB :负电源引脚,V BB = -5V+5%。3 工作原理分析在本实验中我们选择TP3067进行A律变换,以2.048Mbit/s来传送信息,信息帧为无信令帧,它的发送时序与接收时序直接受FSx和FSR控制。系统上电:当开始上电瞬间,加压复位电路启动COMBO并使它处于掉电状态,所有非主要电路都失效,而Dx、VFRO、VPO-、VPO+均处于高阻抗状态。为了使器件上电,一个逻辑低电平或时钟脉冲必须作用在MCLKR/PDN引脚上,并且FSx和FSR脉冲必须存在。于是有两种掉电控制模式可以利用。在第一种中MCLKR/PDN引脚电位被拉高。在另一种模式中使FSx和FSr二者的输入均连续保持低

17、电平,在最后一个FSx或FSr脉冲之后相隔2ms左右,器件将进入掉电状态,一旦第一个FSx和FSr脉冲出现,上电就会发生。三态数据输出将停留在高阻抗状态中,一直到第二个FSx脉冲出现。 系统时序:帧同步工作:COMBO既可以用短帧,也可以用长帧同步脉冲。在加电开始时,器件采用短帧模式,在这种模式中,FSx和FSr这两个帧同步脉冲的长度均为一个位时钟周期。在BCLKx的下降沿当FSx为高时,BCLKx的下一个上升沿可启动输出符号位的三态输出Dx的缓冲器,紧随其后的7个上升沿以时钟送出剩余的7个位,而下一个下降沿则阻止Dx输出。在BCLKR的下降沿当FSr为高时(BCLKx在同步模式),其下一个下

18、降沿将锁住符号位,跟随其后的7个下降沿锁住剩余的7个保留位。长帧同步工作:为了应用长帧模式,FSx和FSr这两个帧同步脉冲的长度应等于或大于位时钟周期的三倍。在64KHz工作状态中,帧同步脉冲至少要在160ns内保持低电位。随着FSx或BCLKx的上升沿(无论哪一个先到)来到,Dx三态输出缓冲器启动,于是被时钟移出的第一比特为符号位,以后到来的BCLKx的7个上升沿以时钟移出剩余的7位码。随着第8个上升沿或FSx变低(无论哪一个后发生),Dx输出由BCLKx的下降沿来阻塞,在以后8个BCLKR的下降沿(BCLKR),接收帧同步脉冲FSR的上升沿将锁住DR的PCM数据。编译码器的工作是由时序电路

19、控制的。在编码电路中,进行取样、量化、编码,译码电路经过译码低通、放大后输出模拟信号,把这两部分集成在一个芯片上就是一个单路编译码器.单路编译码器变换后的8位PCM码字是在一个时隙中被发送出去,这个时序号是由A/D控制电路来决定的,而在其它时隙时编码器是没有输出的。同样在一个PCM帧里,它的译码电路也只能在一个由它自己的时序里,从外部接收8位PCM码。单路编译码器的发送时序和接收时序可由外部电路来控制。只要向A/D控制电路或D/A控制电路发某种命令即可控制单路编译码器的发送时序和接收时序号,从而也可以达到总线交换的目的。不同的单路编译码器对其发送时序和接收时序的控制方式都有所不同,有些编译码器

20、有二种方式,一种是编程法,即给它内部的控制电路输进一个控制字,分配其时隙;另一种是直接控制,这时它有两个控制端,我们定义为FSx和FSR,它们是周期性的,并且它的周期和多路PCM的帧周期相同,为125s,这样,每来一个FSx,编译码器就输出一个PCM码字,每来一个FSR,编译码器就从外部输入一个PCM码字。编译码器一般都有一个PDN降功耗控制端,PDN=l时,编译码能正常工作,PDN=0时,编译码器处于低功耗状态,这时编译码器其它功能都不起作用,我们在设计时,可以接MUC等控制芯片以实现对编译码器的降功耗控制。考虑到系统时钟频率较高,本系统利用VHDL设计pcm编码芯片的控制,生成时钟信号,发

21、送时添加帧同步码,解码时检测帧同步码.以控制编解码的时序实现编解码功能. 本系统中所有的时隙都是从频率为8.102MHz的外部时钟信号频后得到2.048MHz的码同步时钟,再经分频分相后得到8KHz的帧同步时钟.帧同步码的添加是在时钟信号控制下输出帧同步码的时隙中对预置帧同步编码逐位输出实现的. 帧同步信号的提取是用在时钟信号控制下信号通过移位寄存器构成的并/串转换电路的输出信号与与置信号比较而实现的,帧同步信号的频率为位同步信号的256分之一。拨码开关SW1, SW1可分别设置编解码时帧同步码的码型。为了提高系统的抗干扰能力减小误解码率,可以增加帧同步码的位数.这里只是为了说明原理所以选择8

22、位。4 实验电路与仿真4.1 电路原理图实验电路原理如图4.1所示图4.1 PCM电路原理图4.2 仿真电路模块整个电路由信号源子系统、编码器模块和译码器模块构成。其总体电路如下图4.2所示:图4.2 仿真总体电路 所有模块属性如下表4:表4符号名称参数设置12信号源子系统7SinusoidAmp = 1 v , Freq = 1e+3 Hz , Phase = 0 deg,Output 0 = Sine t4 ,Output 1 = Cosine8SinusoidAmp = 1 v,Freq = 1.5e+3 Hz, Phase = 0 deg, Output 0 = Sine t4 ,Ou

23、tput 1 = Cosine9SinusoidAmp = 1 v,Freq = 500 Hz, Phase = 0 deg, Output 0 = Sine t4 ,Output 1 = Cosine10AdderInputs from 7 8 9,Outputs to 1111Meta OutInput from10 Output to 3 203 4 5 14 19Analysis13Logic: ADCTwos Complement,Gate Delay = 0 sec,Threshold = 500e-3 v, True Output = 1 v,False Output = 0 v

24、,No. Bits = 8 ,Min Input = -2.5 v,Max Input = 2.5 v,Rise Time = 0 sec,Analog = t21 Output 0, Clock = t1 Output 00Logic: DACTwos Complement,Gate Delay = 0 sec,Threshold = 500e-3 No. Bits = 8 ,Min Output = -2.5 v,Max Output = 2.5 v, D-0 = t13 Output 0,D-1 = t13 Output 1,D-2 = t13 Output 2, D-3 = t13 O

25、utput 3,D-4 = t13 Output 42 20Operator:Linear Sys Butterworth Lowpass IIR3 Poles, Fc = 1.8e+3 Hz,Quant Bits = NoneInit Cndtn = Transient,DSP Mode Disabled1 18Source: Pulse TrainAmp = 1 v,Freq = 10e+3 HzPulseW = 20.e-6 sec,Offset = 0 v,Phase = 0 deg21Comm: DeCompandA-Law,Max Input = 2.56Comm: Compand

26、erA-Law,Max Input = 2.516Source: Pulse TrainAmp = 1 v,Freq = 30e+3 Hz,PulseW = 20.e-6 secOffset = 0 v,Phase = 0 deg17Source: Pulse TrainAmp = 1 v,Freq = 20e+3 Hz,PulseW = 20.e-6 secOffset = 0 v,Phase = 0 deg15Logic: Mux-D-8Gate Delay = 0 sec,Threshold = 500.e-3 vTrue Output = 1 v,False Output = 04.2

27、.1 信号源子系统信号源子系统由三个幅度相同、频率不同的正弦信号(图符7、8、9)构成,用来产生信号,其结构如下图4.2-1所示:图4.2.1 信号源子系统4.2.2 编码器模块PCM编码器模块主要由低通滤波器(图符15)、瞬时压缩器(图符16)、A/D转换器(图符8)、并/串转换器(图符10)、输出端子构成(图符9),实现模型如下图4.2-2所示:图4.2.2 PCM编码器模块信源信号经过 PCM 编码器低通滤波器(图符15)完成信号频带过滤,由于PCM量化采用非均匀量化,还要使用瞬时压缩器实现A律压缩后再进行均匀量化,A/D转换器(图符8)完成采样及量化,由于A/D转换器的输出是并行数据,

28、必须通过数据选择器(图符10)完成并/串转换成串行数据,最后通过图符9输出PCM编码信号。组件功能:(1)低通滤波器:为实现信号的语音频率特性,考虑到滤波器在通带和阻带之间的过渡,采用了低通滤波器,而没有设计带通滤波器。为实现信号在 300Hz3400Hz的语音频带内,在这里采用了一个阶数为3阶的切比雪夫滤波器,其具有在通带内等波纹、阻带内单调的特性。(2)瞬时压缩器:瞬时压缩器(图符16)使用了我国现采用A律压缩,注意在译码时扩张器也应采用A律解压。对比压缩前后时域信号(见图6, 图7),明显看到对数压缩时小信号明显放大,而大信号被压缩,从而提高了小信号的信噪比,这样可以使用较少位数的量化满

29、足语音传输的需要。(3)A/D 转换器:完成经过瞬时压缩后信号时间及幅度的离散,通常认为语音的频带在300Hz3400Hz,根据低通采样定理,采样频率应大于信号最高频率两倍以上,在这里A/D的采样频率为8Hz即可满足,均匀量化电平数为256级量化,编码用8bit表示,其中第一位为极性表示,这样产生了64kbit/s的语音压缩编码。 (4)数据选择器:图符10为带使能端的8路数据选择器,与74151功能相同,在这里完成A/D转换后的数据的并/串转换,图符11、12、13为选择控制端,在这里控制轮流输出并行数据为串行数据。通过数据选择器还可以实现码速转换功能。4.2.3 译码器模块PCM译码器是实

30、现PCM编码的逆系统。 PCM译码器模块主要由ADC出来的PCM数据输出端、D/A转换器、瞬时扩张器、低通滤波器构成。实现模型如下图4.2-3所示:图4.2.3 PCM译码器模块组件功能:(1)D/A转换器(图符1):用来实现与A/D转换相反的过程,实现数字量转化为模拟量,从而达到译码最基本的要求,也就是最起码要有步骤。(2)瞬时扩张器(图符8):实现与瞬时压缩器相反的功能,由于采用 A 律压缩,扩张也必须采用A律瞬时扩张器。 (3)低通滤波器(图符3):由于采样脉冲不可能是理想冲激函数会引入孔径失真,量化时也会带来量化噪声,及信号再生时引入的定时抖动失真,需要对再生信号进行幅度及相位的补偿,

31、同时滤除高频分量,在这里使用与编码模块中相同的低通滤波器。 5 仿真结果 用SystemView软件进行仿真得到下列波形:1 信号源的波形:2 信号源经压缩后的波形:3 PCM编码的波形:4 PCM译码时经过D/A转化并用A律扩张后的输出波形:5译码后恢复源信号的输出波形:由以上等波形可以看出:在PCM编码的过程中,译码输出的波形具有一定的延迟现象,但其波形基本上不失真地在接收端得到恢复,传输的过程中实现了数字化的传输过程。心得体会在为期不长的通信原理课程设计过程中,从选课题查资料,到学软件做仿真,再到检测与调试,我都收获了很多。不光理论知识得到了强化,实践动手能力也被大大增强了,此外我对课程

32、设计的流程也更熟稔了。在知识方面,我不仅回顾了这个学期所学的通信原理基础理论知识,在相关的知识方面也得到了拓展与深化。由于任务还要求对仿真软件进行自学,我们便全身心地投入到软件的探索之中,并终于由开始的一窍不通到现在的初窥门径。在SystemView之前,我们已经学习过了Protel,Proteus与Matlab,以及数电理论实验所用的EWB等软件,它们在一定的程度上都有相似之处。通过这段时间的接触,发觉SystemView软件还是比较好用的。此次课程设计,让我对通信原理等科目有了更深入细致的了解。同时我也深深发觉到,仅懂得理论、纸上谈兵是远远不够的,我们还需要进行更多的实物制作,或是软件仿真,因为当理论运用到实际中时,往往会出现很多的问题与偏差。所以这次的实践是非常合时并且有意义的。最后感谢指导教师的悉心教导,谢谢!参考文献【1】通信原理(第六版),樊昌信、曹丽娜编著,国防工业出版社,2007 【2】高频电子线路(第三版),张肃文、陆兆熊编,高等教育出版社,1996 【3】现代通信原理及应用,苗长云主编,电子工业出版社,2005【4】SystemView动态系统分析及通信系统仿真设计,罗卫兵、孙桦、张捷著,西安电子科技大学出版社,2001

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号