EDAⅡ实验——多功能数字钟.doc

上传人:仙人指路1688 文档编号:4144064 上传时间:2023-04-07 格式:DOC 页数:36 大小:540.50KB
返回 下载 相关 举报
EDAⅡ实验——多功能数字钟.doc_第1页
第1页 / 共36页
EDAⅡ实验——多功能数字钟.doc_第2页
第2页 / 共36页
EDAⅡ实验——多功能数字钟.doc_第3页
第3页 / 共36页
EDAⅡ实验——多功能数字钟.doc_第4页
第4页 / 共36页
EDAⅡ实验——多功能数字钟.doc_第5页
第5页 / 共36页
点击查看更多>>
资源描述

《EDAⅡ实验——多功能数字钟.doc》由会员分享,可在线阅读,更多相关《EDAⅡ实验——多功能数字钟.doc(36页珍藏版)》请在三一办公上搜索。

1、EDA实验多功能数字钟摘要:本次实验我们使用了软件Quartus及相应的实验平台来完成实验,数字钟的基本功能用电路元件设计,仿真调试后下载到实验平台上进行实验。此次设计的数字钟具有计时功能、校分、校时功能、整点报时功能、闹钟、秒表等功能。Abstract:In this experiment we use the software Quartus and the experiment platform to complete our experiment,we design the circuit with the component in the library and download i

2、t to the experiment platform after simulating .The arithmetic clock we designed this time has the function of counting, setting minute, setting hour, alarming when integral hours, clock ring, stop watch and so on. 关键字:多功能数字钟,计时,较分较时,整点报时,清零,闹钟Key words: The multifunctional digital clock , the point

3、reckoning by time , making suffer comparatively mark comparatively now and then, announce the hour , clear, alarm clock 目录一 设计要求 4二 方案论证 5 总体电路图 5 基本电路的工作原理 6 附加电路的工作原理 6三 各子模块设计 7 1、分频器模块 7 方法一 7 方法二 92、计时器模块103、校分校时电路模块134、清零保持模块145、报时电路模块146、动态显示模块157、闹铃模块188、秒表模块21四 调试、仿真与编程下载22 管脚分配22五 实验设想23 1

4、、万年历的实现 232、彩铃的实现 26 54分频器 27 92分频器 28 62分频器 28 72分频器 29 84分频器 27六 实验总结 32 1、实验中出现的问题 32 2、实验感想 34七 参考文献36正文一、设计要求基本要求:1、能进行正常的时、分、秒计时功能;2、分别由六个数码管显示时分秒的计时;3、 K1是系统的使能开关(K1=1正常工作,K1=0时钟保持不变);4、 K2是系统的清零开关(K2=1正常工作,K2=0时钟的分、秒全清零);5、 K3是系统的校分开关(K3=0正常工作,K3=1时可以快速校分);6、 K4是系统的校时开关(K4=0正常工作,K4=1时可以快速校时)

5、;附加功能:1、使时钟具有整点报时功能(当时钟计到5953”时开始报时,在5953”, 5955”,5957” 时报时频率为512Hz,5959”时报时频率为1KHz, );2、闹表设定功能;3、秒表4、万年历以及彩铃二、方案论证1、总体电路图及简单说明说明:K1=0时保持,K1=1时正常工作; K2=0时清零,K2=1时正常工作; K3=0时正常计时,K3=1时给基本电路快速校分; K4=0时正常计时,K4=1时给基本电路快速校时; K5=0时显示基本电路计时,K5=1时显示闹铃设定时间; K6=0时正常计时,K6=1时给闹铃电路快速校分; K7=0时正常计时,K7=1时给闹铃电路快速校时;

6、 K8=0时显示正常计数电路,K8=1时显示秒表电路;2、基本电路的工作原理:整个时钟的基本电路由1hz频率发生器、计时电路、校分电路、校时电路、动态显示电路和报时电路组成。其中计时电路是由计数器组成,秒位分位是模60的计数器,时位是模24的计数器,秒个位对由分频电路提供1hz的脉冲进行计数,形成时钟;校分电路是在分位用一个1hz的脉冲替换秒十位的进位脉冲,使计时器在1hz的脉冲的作用下计数,达到校分效果;校时电路的原理与校分电路相同;动态显示电路是由数据选择器、译码器、数码管以及一个用于控制的计数器组成,用模6计数器将时、分、秒的各位依次选通到对应的数码管,当闪烁频率大于人眼的分辨频率时,就

7、能动态显示了;报时电路是由分频器和蜂鸣器组成,以提供高低两个频率,在5953”, 5955”,5957”时使用500hz的频率报时,在5959”时用1khz的频率报时;清零电路只要控制计数器的清零端就可以实现,但动态显示电路的清零端不能清零,否则结果6个数码管中只有最后一个显示零,其他都没有显示;保持电路是通过控制计时电路的使能端实现的,当给使能端低电平时计数器停止工作,但由于动显电路任然正常工作,则显示保持计数器停止工作前的示数。3、附加电路基本原理:附加功能有秒表和闹铃。其中闹铃是可以通过开关来设置时间,用数据比较器来实现,当基本电路的示数与设定的闹铃时间相同时,如果不关闹铃,闹铃会响一分

8、钟,但有开关可以随时关闭闹铃,闹铃正常工作时不能影响基本电路的正常工作,另外,闹铃设定时间时数码管应该显示设定的时间;秒表由6个数码管显示,有毫秒的个、十位,秒的个、十位以及分的个十位,设计原理是对100hz的脉冲计数,秒、分位是模60的计数器,另外还要实现保持、清零功能,原理与基本电路的保持清零类似,并且由于开关的限制,仍然可以使用基本电路中的保持、清零开关。4、整个时钟原理框图如下:三、各子模块的设计(一)分频器模块系统给定脉冲频率是48MHz,而本次实验需要多种频率的脉冲,如1khz、500hz、1hz,则需设计分频电路,对48MHz进行分频。1、第一种方法是先对其进行24分频再进行2分

9、频,则可以实现48分频,然后进行两次1000分频。24分频的实现方法是用两片74160,用置数法实现时,在23时进行置数,即第一片为0011第二片为0010时置数,所以置数信号是b6&a5&b5,由于置数信号低电平有效还要加一反相器;二分频电路是利用T触发器来实现的;1000分频的实现方法是用3片74160进行模1000计数,但前一片对后一片的进位信号要用QD输出信号,因为如果用RCO信号作为进位信号时很容易产生冒险,电路不可靠;要得到1000Hz的脉冲,只要对48分频后的脉冲进行1000分频,500Hz是再进行2分频。电路图如下:二分频电路及对应波形图:24、48分频电路及对应波形图:100

10、0分频电路:总的分频电路图:2、第一种方法在实现基本电路时没有任何问题,但是加上附加电路时频率明显加快,在老师的指导下改用第二种方法。第二种方法是先进行16分频,再进行3分频最后进行1000分频。16分频的实现方法是用4片D触发器进行2分频;三分频是用模3计数器实现的;1000分频的实现可以沿用以上方法中的100分频器。电路图如下:封装后的电路图如下:(二)计时器模块1、总述:由2个模60计数器和1个模24计数器构成秒、分、时位的计数。用6片74160构成模10计数器,分别作为秒个位,秒十位;分个位,分十位;时个位和时十位。其中秒位和分位为模60计数器,时位为模24计数器,采用异步计数的方式。

11、总电路图如下:2、秒位的实现:当秒个位计到9,下一个脉冲产生时,该计数器置数端置为0,并将秒个位的进位端的进位脉冲进到秒十位的使能端,秒十位随着脉冲开始计数;当秒位计到59秒,下一个脉冲产生时, 秒位计数器都置位为0, 并将秒十位的进位端的进位脉冲通过非门后,给分个位计数器一个计数脉冲,分个位随着脉冲开始计数。电路图如下:说明:en对应于使能端,用于实现保持,cla为清零端,用于实现清零。3、分位的实现:分位计数原理同秒位。4、时位的实现:当分位和秒位计到59分59秒,下一个脉冲到来时, 秒、分计数器都置位为0, 并将分十位的进位端的进位脉冲通过非门后,给时个位计数器一个计数脉冲,时个位随着脉

12、冲开始计数。当秒位,分位和时位计数器计到23时59分59秒后全部置数0, 计时重新开始。电路图如下:(三)校分校时电路模块用开关来控制校分校时电路,当开关断开时,时钟正常计时,当开关闭合时,用频率为1Hz的脉冲来控制时或分的计时,从而达到快速校分校时的目的。K4是系统的校时开关(k4为0时正常计时,k4为1时快速校时);K3是系统的校分开关(k3为0时正常计时,k3为1时快速校分)。较分较时电路的实现实际上是用开关控制分位和时位对正常进位信号或者1hz的频率进行计数,如果对1hz进行计数,就能达到较分较时的目的。这部分电路主要由数字逻辑电路实现。D触发器用来去抖动的,在加上之前,发现有时电路较

13、分较时正常,但有时会乱跳。较分较时电路实现时主要要注意较分较时时不影响其他位的正常计数。电路图如下:封装后的电路图如下:当较分开关起作用时模拟波形图:(四)保持清零的实现1、保持主要是控制技术电路的使能端,用开关k1控制,k1=1时正常工作,k1=0时停止计数,数码管西安市的是停止前的时间;2、清零也是控制计数器的清零端,k2=1时正常计数,k2=0时清零。(五)报时电路模块1、总述:整点报时:蜂鸣器在59分51、53、55、57秒的时候发出低音,在59秒的时候发出高音。低音对应频率为500Hz,高音对应于1000Hz,这部分已经由分频电路实现。总体电路图如下:内部电路图:2、低音的实现:对应

14、于总电路图中上半部分。3,5,7,9用二进制表示为,0011,0101,0111,1001,可见其Q1位均为1。用卡诺图进行化解得: 00011110000010010110110000100100另外59对应的二进制码为0101、1001,则总的实现方法是1a&1d&(1b or1c)&4a&4c&3a&2a&2c;(前面数字第几片74160,如第一片是秒个位,a、b、c、d表示每片的输出) 2、高音的实现:对应于总电路图中下半部分。59分的实现方法不变,但59秒对应的二进制码是0101、1001,则实现方法是4a&4c&3a&2a&2c&1d&1a ;(前面数字第几片74160,如第一片是

15、秒个位,a、b、c、d表示每片的输出)(六)动态显示模块1、总述:动态显示电路包括两部分:时钟显示、闹铃显示和秒表显示 动态显示每个时刻只有1个数码管在工作,各个数码管依次轮流工作。利用模6快速扫描产生的地址值作为使能控制信号,由于数码管依次显示的频率较高,而人眼存在视觉暂留,分辨不出数码管是闪烁的。与此同时,需要显示的数据被送到显示译码器译码后送入数码管,但因为此时只有一个数码管在工作,所以工作数码管显示正确数据。共分位两部分,上部分用绿框标除的电路图用于产生位码,下边两部分用于产生段码,总电路图如下:2、数据选择器的说明:24路数据选择器由4片74151实现的,74151是8路数据选择器,

16、现在每片只需要从6路中选一路,则最后两路可以接高电平,给4片74151相同的输入,就可以选择同一片74160产生的信号,这样就能产生基本计时电路的段码。3、位码的产生:为了实现动态显示,必须轮流选通6个数码管,这通过由74160构成的模6计数器实现,模6计数器产生的输出给74138,译码产生位码。模6计数器还是用置数法,0101时置数,而6个数码管的选通频率为1000Hz,这已由分频电路实现;4、段码的产生:段码有三种,基本电路、秒表电路以及闹铃电路读会产生段码,但这三种电路产生的段码不同,所以要用开关控制各个时候的显示,k5实现正常计数电路与闹铃之间的切换,k8实现正常计数电路与秒表之间的切

17、换。用两片74157选择段码,电路图如下:(七)闹铃模块1、总述:闹铃模块独立于正常的计时模块,这样在闹铃开启时才不会影响正常计数。基本功能为设置闹铃的时间,不需要进行计数。闹铃模块基本可以分为三个模块。切换开关部分、设置时间部分以及闹铃显示部分。2、切换开关部分:k5是总开关,k5=1时闹铃被打开,用k6,k7分别设置分、时位,k6、k7为1时起作用。3、时间设置部分:4片74160分别为分的个、十位、时的个、十位,前两片的时钟信号由k6控制,当有脉冲时前两片以模60计数;后两片与前两片类似,但构成的是模24的计数器。7485是数据比较器,当设定时间与计时器电路相同时蜂鸣器发出声音。4、闹铃

18、显示电路:闹铃要显示的是设定的时间,需要4个数码管显示,则要和基本电路复用,当k5=1时显示闹铃设置的时间,k5=0时显示的正常的计数。实现方法是控制基本电路和闹铃电路产生的驱动数码管需要的段码,将各部分产生的段码引入数据选择器,哪部分显示由开关控制。以下电路是闹铃电路产生段码的电路图:闹铃总电路图:(八)秒表模块1、总述:秒表:毫秒位为100进制,秒位和分位为60进制,原理与计时器相同,但秒表是对1KHz的频率进行计数。K8是秒表启动开关(k8为1时开启秒表并且显示计数,k8为0时关闭秒表并切换显示正常计数电路的值);K1也是秒表电路的使能电路,当k1=1是秒表正常工作,k1=0时暂停,显示

19、最后的示数;K2也是秒表电路的清零开关,k2=0时清零,k2=1时正常工作。秒表计时电路图如下:Miaobiao对应内部电路图:2、对miaobiao内部电路图的说明:由于分频电路只有1000Hz的频率,第一片74160用来实现10分频,产生100Hz的频率,作为毫秒的输入;后两片74160作为毫秒的个、十位;下部分两片器件实现60分频,在正常计数时也有使用;产生秒表的段码也用24路数据选择器来实现。四、调试下载pin_namePIN_501LVTTLRow I/ODPCLK0/DQS1LYessPIN_531LVTTLRow I/OLVDS2p/DQ0L6Yes48minPIN_281LVT

20、TLDedicated ClockCLK0/LVDSCLK1pYes1soutPIN_551LVTTLRow I/OVREF2B1YesinputPIN_1533LVTTLDedicated ClockCLK2/LVDSCLK2pYesonPIN_1213LVTTLRow I/OLVDS75nYesclaPIN_1223LVTTLRow I/OLVDS75pYes11PIN_1603LVTTLRow I/OLVDS58nYes22PIN_1593LVTTLRow I/OLVDS59pYes33PIN_1623LVTTLRow I/OLVDS57nYes44PIN_1613LVTTLRow I/

21、OLVDS58pYes55PIN_1363LVTTLRow I/OLVDS70nYes66PIN_1373LVTTLRow I/OLVDS70pYesaaPIN_1693LVTTLRow I/OLVDS54p/DQ1R3YesbbPIN_1703LVTTLRow I/ODPCLK4/DQS0RYesccPIN_1673LVTTLRow I/OLVDS55pYesddPIN_1683LVTTLRow I/OLVDS54nYeseePIN_1653LVTTLRow I/OLVDS56pYesffPIN_1663LVTTLRow I/OLVDS55nYesggPIN_1633LVTTLRow I/O

22、LVDS57pYesjiaofenkaiguanPIN_1233LVTTLRow I/OLVDS74nYesjiaoshikaiguanPIN_1243LVTTLRow I/OLVDS74pYesfenmingPIN_1753LVTTLRow I/ODQ1R0YesnaolinkaiguanPIN_1433LVTTLRow I/OPLL2_OUTnYesfenjiaofenPIN_1413LVTTLRow I/OLVDS68pYesshijiaofenPIN_1583LVTTLRow I/OLVDS59n/DM1RYesnaolingPIN_1563LVTTLRow I/OVREF1B3Yes

23、五、实验设想在本次实验中完成了基本功能以及两个附加功能闹铃和秒表,这些在硬件上实验过可以实现,但后来处于兴趣,我又尝试了另外两个附加功能,一个是万年历,另一个是彩铃,万年历可以实现,但由于时间限制,很可惜彩铃没在实验室中成功,但后来几经xi修改,理论上可以实现,所以在实验报告中还是想体现一下。(一)万年历1、总述:万年历可以实现有显示年月日的功能,各用两个数码关显示,年只显示最后两位,还有快速较年月日的功能。加上万年历的电路图与原电路图最大的区别是开关,实现万年历时出现的最大障碍是开关问题,做完基本电路、闹铃和秒表电路后,已经没有开关剩下,为了加上万年历首先要实现开关复用,然后才是实现其具体电

24、路。总体电路图:2、开关复用的实现:万年历需要调整快速校准年、月、日,还要切换数码管显示,则至少需要四个开关。首先要做的是将基本电路和闹铃电路的较分较时开关实现复用,然后一个K6用于切换数码管显示,k6=1时显示万年历,k7用于较年,k7=1时实现较年,较日、较月与基本电路的较分较时复用,当k6=1时较分较时开关k3、k4才能实现对万年历的较日、较年。 在原电路图上修改得到的电路(开关复用)如下图:3、万年历的实现:解决了开关问题后这部分实现相对简单,原理是对时的输出进行计数,日的实现是进行模24计数,用两片74160,由于日的范围是1-24,则746160从1到24计数,到24时置数,24对

25、应的二进制码是0010、0100,则置数信号rld=r3l&r2h,刚开始置入的数是0001;月有大小之分,而且与闰年也有关系,由于各方面的限制都作为30天,则只要使两片74160从1到30进行计数;月产生的进位给年就可以了。万年历部分电路图:(二)彩铃1、总述:彩铃主要是在不同时候发出不同频率的声音,如Do(262hz),Re(294hz),Mi(330hz),Fa(349hz),So(392hz),La(440hz),SI(494hz)。为了得到更多的频率,在原理1KHz、500Hz、1Hz的基础上又增加了各种不同的频率。然后做了模92,84,72,68,62,54,48的分频器,对48K

26、hz的频率进行分频,再组合得到16个比较悦耳的音符连接起来作为彩铃,每种频率轮流响1秒钟。彩铃总电路图:2、各种分频电路的实现:各种分频其实只要做相应的计数器,内部结构图以及模拟波形图:54分频电路以及波形:92分频电路以及波形:62分频以及波形:68分频以及波形:72分频以及波形:84分频以及波形:3、16路数据选择器:由三片54151组成,译码由74160输出,74160组成的是模16的计数器,每隔1秒计数,则16路数据选择器每隔1秒有一个输出,实现了轮流选通16路输出的功能。具体电路图如下:4、接线说明:input是接48MHz的频率,1hz是接前面分频电路中的1sinput,out是输

27、出,替换原电路中闹铃模块中的500hz。彩铃模块封装后的电路图:六、实验感想1、实验过程中出现的问题小结:此次EDA设计的题目是多功能数字钟,与上学期的电子电工综合实验做的基本相同,电子电工综合实验是利用芯片实际搭建电路,而这次是用程序仿真,但原理是相同的,本来以为会比较简单,但事实并非如此,我在第一天就遇到了麻烦。我们首先要用 一个简单的电路来熟悉使用Quartus软件,我按着老师讲的以及书上写的按部就班,电路图没有问题,模拟出来的波形也比较理想,但在分配好管脚后要下载到硬件上实现时出现了大问题,硬件没有反应,仔细检查了前面的所有步骤,发现没有任何地方有问题,但硬件就是没有反应,连一盏灯都不

28、亮,后来才发现是没开硬件电源,可能由于太紧张了犯了如此低级的错误。但奇怪的是上电之后还是没有反应,错误原因提示是没法进入硬件,这下我真的一筹莫展了,只好找老师解决,后来才知道是微机和硬件的连线有问题,只好去找实验室老师解决,几经周折,终于解决了这个问题。我遇到的第二个问题是频率的问题,实现基本电路时我用的是第一种方法做的分频电路(在分频电路部分有详细描述),基本没有问题,但一旦加上闹铃就出现了很多大的问题,数码管显示飞快,几乎快了两倍,后来才得到可能是分频电路部分产生了冒险,这是由于输出的不同步引起的。只好用第二种方法实现分频电路,也即先16分频,然后3分频,再两次1000分频。第三个问题是开

29、关复用问题。其实在做实验的第一天我就要求在家至少要做 除两个附加电路,这个目标在第三天晚上已经基本实现,剩下的一天我就决定做万年历,也许没办法实现最标准的那种,但我至少想完成部分大小月的,但遇到的最大困难是开关复用问题,我本来打算,用k1(使能开关)实现基本电路以及秒表电路的启停;k2(清零开关)实现基本电路的清零;k3(较分开关)实现基本电路的较分,闹铃电路的设置分位以及万年历的日快速校准;k4(较时开关)实现基本电路的较时,闹铃电路的设置时位以及万年历的月快速校准;k5、k8译码控制基本电路、闹铃、秒表和万年历的显示;k6作为闹铃总开关。电路图如下: 但逻辑上是正确的,就是没办法实现,甚至

30、用了这种办法之后基本电路显示也出现了问题,所以被迫放弃。 2、实验感想:这次EDA实验给我最大的体会就是兴趣是最好的老师。 在EDA实验开始的第一天上午我回到宿舍,我就对室友说这个实验很有意思,说Quartus软件竟然能允许我们自己造器件,每次我封装成一个器件之后我都觉得自己小有所成。 其实由于我每天中午取消了午觉都在实验室并且晚上也熬到很晚为第二天做准备,我的基本电路外加闹铃和秒表在第三天下午就基本实现了,但我还是觉得要做一个万年历。但开关复用调了一上午还是没有实现,甚至我中午都没有午睡,一直在那调,还是有问题,最后采用了另一个方案之后才实现,但做好万年历已经是第四天下午三点了,大家都在验收

31、了,和同组人商量之后决定先让老师要收基本电路、闹铃以及秒表。但验收之后可能是由于不服输的性格或是其他,我还是想把万年历做出来,于是我就一个人在那做,直到完成才发现同学走得基本差不多了。5:30我离开实验室,但走出实验室的瞬间我还在想这如何做彩铃。之后的几天我基本都在忙着上课、准备考试,但我还是没有放弃要做彩铃的想法,而且我觉得既然已经选了测控这一专业方向,以后估计会遇到很多软硬件接口的问题,所以一有时间我就开始做彩铃,理论上觉得可以实现,但同时明白连上硬件会有很多问题需要逐个排除,但很可惜已经没有机会去实验室调试验收自己的成果了。 我甚至还想过用VHDL硬件描述语言进行编程,参考书上例子编了几

32、个小的器件的程序,例如16选一的数据选择器(在彩铃模块中要用到),具体程序如下。但后来发现用现成提供的器件实现更加方便,就放弃了这种方法,但至少我已经学了一部分相关的知识,已经入门了,将来如果要用再学也比较简单。16选1数据选择器的具体程序:library ieee;use ieee.std_logic_1164.all;entity mux16_1 is port ( en :in std_logic; music :in std_logic_vector(15 downto 0 ); cnt :in std_logic_vector(3 downto 0); output :out std

33、_logic );end mux16_1;architecture behave of mux16_1 isbegin process (en,music,cnt) begin if(en=0) then output=0; elsif cnt=0000 then output= music(0); elsif cnt=0001 then output= music(1); elsif cnt=0010 then output= music(2); elsif cnt=0011 then output= music(3); elsif cnt=0100 then output= music(4

34、); elsif cnt=0101 then output= music(5); elsif cnt=0110 then output= music(6); elsif cnt=0111 then output= music(7); elsif cnt=1000 then output= music(8); elsif cnt=1001 then output= music(9); elsif cnt=1010 then output= music(10); elsif cnt=1011 then output= music(11); elsif cnt=1100 then output= m

35、usic(12); elsif cnt=1101 then output= music(13); elsif cnt=1110 then output= music(14); else output= music(15); end if; end process;end behave; EDA实验给我的第二个感受是做完我都觉得很有成就感,不管是上学期的还是这个学期的,我完成的结果都让我自己感到满意,至少我做了我所能做的所有工作,包括设计、连线、调试、解决问题,我都全身心投入了,没有任何一个环节拷贝别人敷衍了事,我把EDA当作一种能力的锻炼、一种难得的挑战。而确实我觉得得到了锻炼,我在逐渐的学会

36、自己发现问题、解决问题并且吸取教训,我甚至把这种教训告诉别人,免得他们跟我犯一样的错误。 另外,我发现自己没有完全掌握数字电路上的内容,甚至有些掌握的也已经忘记了,比如说冒险,数字逻辑电路上应该有解释,但当我发现较时较分电路不正常以及频率加快的问题时我竟然没有往这方考虑,还是同学提醒我才加上我防抖动开关,甚至我忘了如何实现防抖动。其实不光是EDA,还要其他的课,我们通常学完考完试就丢了,我能保证自己学的时候是认认真真学的,但以后要用时还是发现有很多知识点遗忘了,但令人欣慰的是复习之后我还能想起来基本的理论。七、参考文献1、EDA设计实验指导书 南京理工大学电子技术中心2、数字电路 蒋立平编著 南京理工大学 3、VHDL硬件描述语言与数字逻辑电路设计 侯伯亨 顾新 编著 西安电子科技大学出版社

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号