毕业设计(论文)基于TMS320F2812的FIR低通滤波器的设计.doc

上传人:文库蛋蛋多 文档编号:4145347 上传时间:2023-04-07 格式:DOC 页数:42 大小:711.50KB
返回 下载 相关 举报
毕业设计(论文)基于TMS320F2812的FIR低通滤波器的设计.doc_第1页
第1页 / 共42页
毕业设计(论文)基于TMS320F2812的FIR低通滤波器的设计.doc_第2页
第2页 / 共42页
毕业设计(论文)基于TMS320F2812的FIR低通滤波器的设计.doc_第3页
第3页 / 共42页
毕业设计(论文)基于TMS320F2812的FIR低通滤波器的设计.doc_第4页
第4页 / 共42页
毕业设计(论文)基于TMS320F2812的FIR低通滤波器的设计.doc_第5页
第5页 / 共42页
点击查看更多>>
资源描述

《毕业设计(论文)基于TMS320F2812的FIR低通滤波器的设计.doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)基于TMS320F2812的FIR低通滤波器的设计.doc(42页珍藏版)》请在三一办公上搜索。

1、南京理工大学紫金学院毕业设计说明书(论文)作 者:学 号:系:电子工程与光电技术系专 业:通信工程 题 目: 基于TMS320F2812的FIR低通滤波器的 设计 讲师 马逸新 指导者: (姓 名) (专业技术职务)评阅者: (姓 名) (专业技术职务)2012 年 5 月南 京 理 工 大 学 紫 金 学 院毕业设计(论文)评语学生姓名: 班级、学号: 通信一班 080404112 题 目:基于TMS320F2812的FIR低通滤波器的设计 综合成绩: 指导者评语: 指导者(签字): 年 月 日毕业设计(论文)评语评阅者评语: 评阅者(签字): 年 月 日答辩委员会(小组)评语: 答辩委员会

2、(小组)负责人(签字): 年 月 日毕业设计说明书(论文)中文摘要在现代电子系统中,FIR 数字滤波器以其良好的线性特性被广泛使用,属于数字信号处理的基本技术之一。文章主要分析了FIR数字滤波器的基本原理,同时介绍了TI公司最新研制的TMS320F2812芯片。在MATLAB环境下利用窗函数设计FIR低通滤波器,实现了FIR低通滤波器的设计仿真,将设计的符合要求的滤波器使用TMS320F2812 的DSP处理器实现。通过硬件仿真结果观察到,低于阻带截止频率的信号被保留,高于通带截止频率的信号被滤除。结果表明设计FIR滤波器准确度高、稳定性好,可以有效的滤除干扰信号,设计结果满足性能指标要求。关

3、键词 数字滤波器 FIR 窗函数 TMS320F2812 毕业设计说明书(论文)外文摘要Title The FIR Low-Pass Filter Design Based on TMS320F2812 AbstractIn modern electronic systems, FIR digital filter with good linearity which has been widely used is one of the basic digital signal processing technique. The article mainly analyzes the basic

4、 principles of the FIR digital filter and TIs newly developed TMS320F2812 chip. Window function method is used to design the FIR low-pass filter in the MATLAB simulation environment, then, the filter is realized based on TMS320F2812 DSP chip. In this simulation, some phenomenon is observed: the sign

5、al lower than the stop-band cutoff frequency is retain, and the signal higher than the pass-band cutoff frequency is depressed. The simulation results show that the design method of FIR filter has high accuracy, good stability, and can effectively filter out interfering signals. The design method me

6、et the performance requirements.Keywords Digital filter FIR Window function TMS320F2812 目 次 1 引言11.1 研究的背景11.2 课题研究的现状21.3 论文主要研究的内容32 数字滤波器概述42.1 数字滤波器的分类42.2 数字滤波器的实现方法52.3 FIR数字滤波器的特点和结构52.4 FIR数字滤波器的原理和设计83 DSP芯片及TMS320F2812的概述183.1 DSP概述183.2 TMS320F2812系列DSP芯片概述193.3 FIR数字滤波器的DSP实现223.4 CCS介绍及

7、面向DSP的C语言程序设计流程234 用窗函数法设计有限冲激响应(FIR)滤波器264.1 基于TMS320F2812的FIR低通滤波器设计步骤264.2 基于TMS320F2812的FIR数字低通滤波器设计结果分析28结 论32致 谢33参 考 文 献341 引言在数字信号处理的应用中,数字滤波器很重要而且得到了广泛的应用。按照数字滤波器的特性,它可以被分为线性与非线性、因果与非因果、无限长冲激响应(IIR)与有限长冲激响应(FIR)等。其中,线性时不变的数字滤波器是最基本的类型;IIR 滤波器的特征是具有无限持续时间冲激响应,而FIR滤波器的冲激响应只能延续一定时间,在工程实际中可以采用递

8、归的方式实现也可以采用非递归的方式实现1。FIR滤波器是数字信号处理中最基本的元件,它可以在保证任意幅频特性的同时具有严格的线性相频特性,同时其单位冲激响应是有限的,没有输入到输出的反馈,是稳定的系统。因此,FIR滤波器在通信、图像处理、模式识别等领域都有着广泛的应用。目前FIR滤波器的实现方法大致可分为三种:利用单片通用数字滤波器集成电路、DSP器件或者可编程逻辑器件实现。其中以使用通用DSP芯片实现方式较为简单,是一种实时、快速、特别适合于实现各种数字信号处理运算的微处理器,借助于通用数字计算机按滤波器的设计算法编出程序进行数字滤波计算2。为了达到快速进行数字信号处理的目的,DSP芯片一般

9、都具有程序和数据分开的总线结构、流水线操作功能、单周期完成乘法的硬件乘法器以及一套适合数字信号处理的指令集。在DSP上实现的数字滤波器具有实时性好、处理速度快等优点,基于此所设计的基于TMS320F2812DSP的线性相位FIR (有限长单位冲激响应) 数字滤波器能够更好地适应图像处理以及数据传输系统的要求。同时由于它具有丰富的硬件资源、改进的哈佛结构、高速数据处理能力和强大的指令系统而在通信、航空、航天、雷达、工业控制、网络及家用电器等各个领域得到广泛应用。1.1 研究的背景 DSP是目前电子工业领域增长最迅速的产品之一,据世界半导体贸易统计组织发布的统计和预测报告显示,其中,2000年的增

10、长率为37%,2001年为8%,并且从2001年到2005年,增长率将逐年递增,2005年的增长率达34%。因此,全球DSP市场的前景非常广阔,DSP产业成为21 世纪最具发展潜力朝阳产业。DSP芯片的应用越来越广泛。在数字信号处理中,数字滤波占有极其重要的地位。数字滤波是语音和图象处理、模式识别、谱分析等应用中的一个基本处理算法。在许多信号处理应用中使用数字滤波器代替模拟滤波器是有利的。数字滤波器容易实现不同的幅度和相位频率特性指标,克服与模拟滤波器器件性能相关的电压漂移、温度漂移和噪声问题。用DSP芯片实现数字滤波除了具有稳定性好、精确度高、不受环境影响外,还具有灵活性好的特点。用可编程D

11、SP芯片实现数字滤波可通过修改滤波器的参数十分方便的改变滤波器的特性。几乎每一科学和工程领域例如声学、物理学、通信、数据通信、控制系统和雷达都涉及信号。在许多应用中都希望根据期望的指标把一个信号的频谱加以修改、整形或运算。这些过程都可能包含衰减一个频率范围阻止或隔离一些频率成分。数字滤波器扩展了应用范围,例如数字图象处理、模式识别、频谱分析等3。数字滤波器又分为IIR和FIR。FIR滤波器具有不含反馈环路结构简单以及可以实现的严格线性相位等优点,因而在对相位要求比较严格的条件下,只能采用FIR数字滤波器。同时,由于在许多场合下,需要对信号进行实时处理,因而对于单片机的性能要求也越来越高。普通的

12、单片机例如MCS51难以满足这一要求。由于DSP控制器具有许多独特的结构,例如采用多组总线结构实现并行处理,独立的累加器和乘法器以及丰富的寻址方式,采用DSP控制器就可以提高数字信号处理运算的能力,可以对数字信号做到实时处理4。有限长单位冲激响应FIR数字滤波器,与传统的通过硬件电路实现的模拟滤波器相比有以下几大优点:(1)简化了硬件电路的设计,提高了硬件电路的集成度和可靠性。(2)对干扰信号的抑制能力有了明显提高,这对系统的控制精度和稳定性的提高起到了促进作用。(3)数字滤波器的参数调节比起模拟滤波器来更加方便、灵活5。1.2 课题研究的现状在近代电信设备和各类控制系统中,滤波器应用极为广泛

13、:在所有的电子部件中,使用最多,技术最为复杂的要算滤波器了。滤波器的优劣直接决定产品的优劣,所以,对滤波器的研究和生产历来为各国所重视。1917年美国和德国科学家分别发明了LC滤波器,次年导致了美国第一个多路复用系统的出现。20世纪50年代无源滤波器日趋成熟。自60年代起由于计算机技术、集成工艺和材料工业的发展,滤波器发展上了一个新台阶,并且朝着低功耗、高精度、小体积、多功能、稳定可靠和价廉方向努力,其中小体积、多功能、高精度、稳定可靠成为70年代以后的主攻方向。导致RC有源滤波器、数字滤波器、开关电容滤波器和电荷转移器等各种滤波器的飞速发展,到70年代后期,上述几种滤波器的单片集成己被研制出

14、来并得到应用。80年代,致力于各类新型滤波器的研究,努力提高性能并逐渐扩大应用范围。90年代至现在主要致力于把各类滤波器应用于各类产品的开发和研制。当然,对滤波器本身的研究仍在不断进行。我国广泛使用滤波器是50年代后期的事,当时主要用于话路滤波和报路滤波。经过半个世纪的发展,我国滤波器在研制,生产和应用等方面已纳入国际发展步伐,但由于缺少专门研制机构,集成工艺和材料工业跟不上来,使得我国许多新型滤波器的研制应用与国际发展有一段距离。我国现有滤波器的种类和所覆盖的频率己基本上满足现有各种电信设备。从整体而言,我国有源滤波器发展比无源滤波器缓慢,尚未大量生产和应用。从下面的生产应用比例可以看出我国

15、各类滤波器的应用情况:LC滤波器占500/0;晶体滤波器占20;机械滤波器占15;陶瓷和声表面滤波器各占1:其余各类滤波器共占13。从这些应用比例来看,我国电子产品要想实现大规模集成,滤波器集成化仍然是个重要课题。本课题就是主要研究基于TMS320F2812的数字滤波器的DSP实现方法6。1.3 论文主要研究的内容本课题属于工程设计类论文,有相当的技术难度,重点放在解决工程实际问题上。当然理论也是不可忽略的部分,所谓学以致用。下面介绍了所要做的工作: (1)掌握用窗函数法设计FIR滤波器的原理及方法,熟悉FIR滤波器的幅频特性和相频特性,熟悉不同的窗函数对滤波器性能的影响。 (2)根据本实验滤

16、波器的各项指标,用窗函数法设计FIR低通滤波器,运用MATLAB软件得出滤波器系数,将该小数系数转化为定点形式。 (3)基于TMS320F2812FIR低通滤波器的设计主要是运用CCS进行仿真,连接DSP实验箱,设置好信号源,将滤波器的系数带入主程序中运行,观察波形。 (4)通过观察波形的分析,得出实验结论。2 数字滤波器概述数字滤波是提取有用信息非常重要、非常灵活的方法,是现代信号处理的重要内容。因而在数字通信、语音图像处理、谱分析、模式识别、自动控制等领域得到了广泛的应用。相对于模拟滤波器,数字滤波器没有漂移,能够处理低频信号,频率响应特性可做成非常接近于理想的特性,且精度可以达到很高,容

17、易集成等,这些优势决定了数字滤波器的应用将会越来越广泛。同时DSP处理器的出现和FPGA的迅速发展也促进了数字滤波器的发展,并为数字滤波器的硬件实现提供了更多的选择。当前我们正处于数字化时代,数字信号处理技术受到了人们的广泛关注,其理论及算法随着计算机技术及微电子技术的发展得到了飞速的发展,在许多领域得到了广泛的应用。如语音、图像、雷达、通信等等。以与我们关系比较密切的手机来说,目前模拟网已经退出了舞台,而完全由数字网代替:又如电视技术也已呈现出数字电视取代模拟电视的趋势。数字滤波器是数字信号处理中最重要的组成部分之一,几乎出现在所有的数字信号处理系统中,随着集成电路和DSP处理器的发展,数字

18、滤波器的应用必将越来越广泛7。2.1 数字滤波器的分类数字滤波器是完成信号滤波处理功能的,用有限精度算法实现的离散时间线性非时变系统,其输入是一组数字量,其输出是经过变换的另一组数字量。数字滤波器具有稳定性高、精度高、灵活性 大等突出优点。用数字技术设计滤波器的功能越来越受到人们的注意和广泛的应用。从数字滤波器的单位冲击响应来看,分为两大类:有限冲激响应(FIR)滤波器和无限冲激响应(IIR)滤波器。IIR滤波器系统函数的极点可以位于单位圆内的任何地方,因此可以用较低的阶数获得较高的选择性,所用的存储单元少,经济而效率高,但是系统函数的极点也可能位于单位圆外,可能会引起系统的不稳定。同时IIR

19、滤波器的相位是非线性的,且它的选择性越好,相位的非线性就越严重。相反FIR滤波器却可以得到严格的线性相位,然而由于FIR滤波器的系统函数的极点固定在原点,所以只能用较高的阶数来实现选择性,对于同样的滤波器设计指标,FIR滤波器所要求的阶数要比IIR高5至10倍,所以成本较高,信号延迟也较大。但是如果要求相同的线性相位,则IIR滤波器就必须加全通网络进行相位校正,同样也要增加滤波器网络的节数和复杂性。FIR滤波器可以用非递归的方法实现,在有限精度下不会产生振荡,同时由于量化舍入以及系数的不确定性所引起的误差的影响要比IIR滤波器小的多,并且FIR滤波器可以采用FFT算法,运算速度快。但是不像II

20、R滤波器可以借助模拟滤波器的成果。FIR滤波器没有现成的计算公式,必须要用计算机辅助设计软件来计算。由此可知,FIR滤波器应用比较广,而IIR滤波器则用在相位要求不是很严格的场合8。2.2 数字滤波器的实现方法(1)在通用的微型计算机上用软件实现。软件可以是自己编写的,也可以使用现成的软件包,这种方法的缺点是速度太慢,不能用于实时系统,只能用于教学和算法的仿真研究。比如近年来迅速发展的MATLAB,就几乎可以实现所有数字滤波器的仿真。而且在MATLAB下的部分仿真程序还可以通过转化为C语言,再通过DSP的C编译器直接在DSP硬件上运行。(2)用单片机来实现。单片机在不断地发展,如Intel96

21、000的运算速度就非常可观,而且单片机的接口性能比较良好,容易实现人机接口。但是由于单片机采用的是冯诺依曼总线结构,系统比较复杂,实现乘法运算速度较慢,而在数字滤波器中却要涉及大量的乘加运算,因此这种方法在运算量大的实时信号滤波处理当中很难有所作为。(3)利用专门用于信号处理的可编程DSP芯片来实现。与单片机相比,DSP有着更适合于数字滤波的优点。如,采用改进的哈佛总线结构,内部有硬件乘法器、累加器,使用流水线结构,具有良好的并行特性,并有专门设计的适用于数字信号处理的指令系统等。DSP芯片的这些特点使得对不允许延迟的实时应用领域,如蜂窝电话、计算机硬盘驱动器等非常理想。(4)用FPGA等可编

22、程器件来开发数字滤波算法。由于FPGA产品的迅速发展,人们可以利用Atera、Xilinx等公司提供的产品,使用其相关开发工具和VHDL等硬件开发语言,通过软件编程用硬件实现特定的数字滤波算法。这一方法由于具有通用性的特点并可以实现算法的并行运算,无论是作为独立的数字信号处理器,还是作为DSP芯片的协处理器,目前都是比较活跃的研究领域9。2.3 FIR数字滤波器的特点和结构2.3.1 FIR滤波器的特点线性相位:FIR滤波器的响应可以是严格线性相位,这样,在整个频带范围内的群时延是一个常数。因此,滤波器本身不会引入相位失真。FIR滤波器的优点如下: (1)绝对稳定:由于没有反馈,FIR滤波器始

23、终都是稳定的。(2)较小的有限精度误差:FIR滤波器受有限字长效应(如系数量化误差)影响小。(3)实现效率高:利用DSP处理器的MAC(乘-累加单元)、循环寻址及一些专用的指令可以使FIR滤波器的实现比较高效。但是在相同的滤波性能下,和IIR滤波器相比,FIR滤波器具有更高的阶数或更多的系数,即需要更长的延时、更大的运算代价和更大的存储空间。此外,对于FIR滤波器而言,没有与之相对应的模拟滤波器,因此计算和优化FIR滤波器,都需要计算机程序进行大量的运算。一般而言,FIR滤波器的相位和和群延时特性由于IIR滤波器。所以,在应用中如果对波形的要求较高,FIR滤波器是比较好的选择。如果需要窄带、锐

24、截止的幅频响应特性,而且相位不是非常重要的时候,往往选择IIR滤波器6。2.3.2 FIR滤波器的结构当一个滤波器的系统传输函数确定时,可以用很多不同的方法来实现。这些不同的方法可以用信号流图来表示。在一个特定的应用中,具体使用哪种滤波器结构,由很多因素决定,如基于编程的考虑、硬件实现的规则性、系数对量化误差的敏感性,以及型号量化带来的噪声等10。转置型结构相比于直接型结构具有特有的优点而得到更广泛的应用:(1)FIR滤波器的制作非常容易,不管是利用数字电路或计算机程序,它的制作只是有限项的直接回旋运算,而且FIR系统可以利用快速傅叶转换执行以减少所需要运算的个数,增加执行的效益。(2)FIR

25、滤波器很容易设计成具线性相位响应系统,一个具有线性相位的系统对于输入信号的不同频率成份皆会有固定的延迟时间,因此不会造成信号的相位失真,相位失真对于某些信号的影响相当严重,如音频和视频,相位失真会造成声音和影像品质的不良,明显的例子像是电视的重影就是相位失真造成的,其它像一些数据传输系统是利用不同相位调制,此时相位失真也会造成数据传输的错误。(3)FIR滤波器没有稳定的问题,此系统永远稳定。(4)FIR滤波器的固定位噪声较容易控制,其以固定位制作时的误差对整个系统的影响较小。FIR滤波器的基本结构是一个分节的延时性,每一节的输出加权累加,得到滤波器的输出,如下图2.1,这种结构称为FIR滤波器

26、的横截型结构3 。z-1z-1z-1xnxn-1xn-2xn-1ynb0b1b2bL 图2.1 FIR滤波器的横截型结构在横截型结构中,每一个节点都是先延时,后相加,而在转置结构中,每一个节点都是先相加,再延时。因此,和横截型相比,转置结构对于舍入噪声就更加的敏感。研究人员已经进行了相关测试,在TI公司的DSP处理器上分别实现这两种结构的FIR滤波器进行比较,结果表明横截型结构的效果更好,而且受量化噪声的影响更小。图2.2为FIR滤波器的转置结构。z-1z-1z-1xnbLbL-1b2b1b0yn图2.2 FIR滤波器的转置结构 另外,当FIR滤波器的阶数较高时,也可以用二阶滤波器的级联结构来

27、实现。但是,图2.1中的横截型结构更加适合DSP处理器的体系结构,因此,在DSP处理器上实现FIR滤波器时,首选横截型结构11。2.4 FIR数字滤波器的原理和设计2.4.1 FIR数字滤波器的原理FIR滤波器的单位冲激响应主要特点就是是有限长(0-1),其变换时域表示: (2.1) 离散线性时不变系统滤波器可表示成: (2.2) FIR滤波器:当分母中()=0,1,.其冲激响应()为有限长。IIR 滤波器:当分母中不全为零,其冲激响应 () 将是无限长4。在数字信号处理应用中往往需要设计线性相位的滤波器,FIR滤波器在保证幅度特性满足技术要求的同时,很容易做到严格的线性相位特性。可以看出,数

28、字滤波器是把输入序列经过一定的运算变换成输出序列。为了使滤波器满足线性相位条件,要求其单位脉冲响应()为实序列,且满足偶对称或奇对称的条件,即:()(-1-)或()=-(-1-)。Error! No bookmark name given.这样,当为偶数时,偶对称线性相位FIR滤波器的差分方程表达式为: (2.3) 由上可见,FIR滤波器不断地对输入的样本()延时后,再做乘法累加算法。将滤波器结果()输出。因此,FIR实际上就是一种乘法累加运算。而对于线性相位FIR而言,利用线性相位FIR滤波器系数的对称特性,可以采用结构精简的FIR结构乘法器数目减少一半。 2.4.2 FIR数字低通滤波器设

29、计方法FIR低通滤波器的设计一般方法有两种,即频率抽样法和窗函数法,频率抽样法设计不同于窗函数法,窗函数是从时域出发,把理想的用一定形状得窗函数截取成有限长的,以此来近似理想的,这样得到的频率响应逼近于所要求的理想的频率响应。频率抽样法则是从频域出发,把给定的理想频率响应加以等间隔抽样,即然后以此作为实际FIR数字滤波器的频率特性的抽样值,即令,知道后,由DFT定义,可以用频域的这个抽样值来唯一确定有限长序列,而由的内插公式知道,利用这个频域抽样值同样可求得FIR滤波器的系统函数及频率响应12。在设计数字滤波器时,通常采用MATLAB来进行辅助设计和仿真,用MATLAB设计FIR数字滤波器的方

30、法有很多种可以分为窗函数编程设计法、最优化设计法及滤波器设计与分析工具FDATool设计法。(1)窗函数编程设计法窗函数法的设计核心是从给定的频率特性,通过加窗确定有限长单位脉冲响应序列,根据给定的滤波器技术指标,选择滤波器长度N和窗函类型,使其具有最窄宽度的主瓣和最小的旁瓣。工程中常用的窗函数共有6种,即矩形窗、巴特利特窗、汉宁窗、汉明窗、布莱克曼窗和凯塞窗。以基于窗函数设计法的firl和fir2函数命令可以方便地得到滤波器的系数,并可以通过使用freqz()和plot()函数绘制滤波器的幅频响应和相频响应。(2)最优化设计法MATLAB中的remez函数可采用ParksMcClellan算

31、法设计线性相位FIR滤波器,这种滤波器使期望频率响应与实际频率响应之间的最大误差最小,使其频率响应呈现出等波纹特性,因此有时也称为等波纹滤波器。这种方法的缺点是无法直接设定滤波器阻带衰减参数,只能通过调整参数进行多次试验来得到所需的滤波器。(3)FDATool设计法FDATool(filter design& analysis too1)是MATLAB信号处理工具箱里专用的滤波器设计与分析工具。FDATool界面为滤波器设计提供了一个交互式的设计环境,用户可以根据对幅值和零极点图的设置,设计几乎所有基本的常规滤波器。用FDATool设计法是其界面的上半部分为特性区,用来显示滤波器的各种特性;下

32、半部分为参数设定区,用来设定滤波器的各种参数,包括滤波器的类型、设计方法、阶数、频率设定等13。通过对比以上3种方法,发现本实验利用窗函数法设计滤波器更为方便。本文便是在MATLAB中利用窗函数法先设计出FIR滤波器,得到系数,然后根据其结果再在基于TMS320F2812的DSP实验箱上实现。2.4.3 设计FIR数字滤波器的基本步骤(1)确定指标在设计一个滤波器之前,必须首先根据工程实际的需要确定滤波器的技术指标。在很多实际应用中,指标的形式一般在频域中给出幅度和相位响应。幅度指标主要以两种方式给出,相对于对幅度响应函数的要求,分贝值这种指标最受欢迎。对于相位响应指标形式,通常希望系统在通频

33、带中只有线性相位11。本文中滤波器的设计就以线性相位FIR滤波的设计为例以窗函数进行设计。(2)逼近技术指标确定后,就可以建立一个目标的数字滤波器模型。通常,首先采用理想的数字滤波器模型,然后利用数字滤波器的设计方法,设计出一个实际滤波器模型来逼近给定的目标。(3)性能分析和计算机仿真上两步的结果是得到以差分或系统函数或冲激响应描述的滤波器。根据这个描述就可以分析其频率特性和相位特性,以验证设计结果是否满足指标要求;或者利用计算机仿真实现设计的滤波器,再分析滤波结果来判断设计的效果。2.4.4 用窗函数法设计FIR数字低通滤波器 设数字滤波器的传输函数为,是与其对应的单位脉冲响应, 为系统函数

34、。 (2.4) (2.5) (2.6)一般说来, 是无限长的,需要求对的一个逼近。采用窗函数设计法时,可通过对理想滤波器的单位采样响应加窗设计滤波器 (2.7) 其中, 是一个长度有限的窗,在区间0 -1外值为0 ,且关于中间点对称 (2.8) 频率响应,由卷积定理得出 (2.9)理想的频率响应被窗函数的离散时间傅立叶变换“平滑”了。采用窗函数设计法设计出来的滤波器的频率响应对理想响应的逼近程度,由两个因素决定:主瓣的宽度;旁瓣的幅度大小。理想的情况是主瓣的宽度窄,旁瓣的幅度小。但对于一个长度固定的窗函数来说,这些不能独立地达到最小。窗函数的一些通用性质为:窗函数的长度增加,主瓣的宽度减小,使

35、得过渡带变小。关系为: = 其中:是过渡带的宽度;是取决于窗函数的一个参数。如矩形窗为。调整可以有效地控制过渡带的宽度,但的改变不改变主瓣和旁瓣的相对比例。随着值增加,过渡带变窄,波动频率随着增加,虽然总的幅度有所减少,但截止频率附近的肩峰并不减少,而只是随着值的增加,肩峰被抑制在愈来愈小的范围内,使肩峰宽度变窄。和矩形窗相比,改进的窗函数的频谱的主瓣更宽,滤波器幅频响应函数的过渡带加宽。窗函数的旁瓣的幅度大小取决于窗函数的选择。因而,选用不同形状的窗函数,是为了得到平坦的通带幅度响应和较小的阻带波纹。选择恰当的窗函数使主瓣包含更多的能量,相应旁瓣的幅度就减小。旁瓣幅度的减小,可以减少通带和阻

36、带的波动,使通带尽可能趋近水平,阻带尽可能达到最大衰减。但通常此时过渡带会变宽。取不同的窗函数对幅度特性的整形效果比单纯的增加窗口长度要强得多14。常用的窗函数有:矩形窗、三角形窗、汉宁窗、海明窗、布莱克曼窗等。为了便于比较和设计时参考,如下表2.1归纳了常用窗函数的基本参数: 表2.1常用窗函数的基本参数 窗的类型 最大旁瓣幅度 相对值 过渡带宽度 最小阻带衰减 dB 主瓣宽度 矩形 -13 0.9 -21 4 三角 -25 2.1 -25 Hanning -31 3.1 -44 Hamming -41 3.3 -53 布莱克窗 -57 5 -74 窗函数的选择原则是:(1)具有较低的旁瓣幅

37、度,尤其是第一旁瓣幅度。(2)旁瓣幅度下降速度要快,以利增加阻带衰减。(3)主瓣的宽度要窄,以获得较陡的过渡带。2.4.5 窗函数法计算中的主要问题首先当很复杂或不能按是直接计算积分时,则必须用求和代替积分,以便在计算机上计算,也就是要计算离散傅里叶反变换,一般都采用FFT来计算。将积分限分成段,也就是令抽样频率为: ,=0, 1 ,2,-1 (2.10)则有 (2.11) 频域的抽样造成时域序列的周期延拓,延拓周期是,即: (2.12) 由于有可能是无限长的序列,因而严格的说,必须时才能等于而不产生混叠现象,即: (2.13) 由于随n的增加衰减很快,只要足够大,即,就足够了。其次,窗函数设

38、计法的另一个困难就是需要先确定窗函数的形状和窗函数需要的点数,以满足给定的频率响应指标。这一困难可利用计算机采用累试法加以解决。一般在设计凯泽窗时,则零阶变形贝塞尔函数可采用无穷级数来表达 (2.14) 这个无穷级数可用有限项级数去近似,项数多少有要求的精度来确定。窗函数法的优点是简单,有闭合形式的公式可循,因而很实用。但是其缺点是通带、阻带的截止频率不易控制。2.4.6 MATLAB概述MATLAB软件是由美国Mathworks公司推出的用于数值计算和图形处理的科学计算系统环境。MATLAB是英文MATrix LABoratory(短阵实验室)的缩写。它的第1版(DoS版本1.0)发行于19

39、84年,经过十几年不断改进,现今已推出它的WINDWS XP版本(7.0版)。新的版本集中了日常数学处理中的各种功能,包括高效的数值计算、矩阵运算、信号处理和图形生成等功能。在MATLAB环境下,用户可以集成地进行程序设计、数值计算、图形绘制、输入输出、文件管理等各项操作。MATLAB提供了一个人机交互的数学系统环境,该系统的基本数据结构是矩阵,在生成矩陈对象时,不要求作明确的维数说明。与利用C语言或FORTRAN语言作数值计算的程序设计相比,利用MATLAB可以节省大量的编程时间。在工程技术界,MATLAB被用来解决一些实际课题和数学模型问题。典型的应用包括数值计算、算法预设计与验证,以及一

40、些特殊的短阵计算应用,如自动控制理论、统计、数字信号处理等。进入了20世纪90年代,MATLAB已经成为国际控制界公认的标准计算软件。MATLAB因其提供计算、图形、文字处理的统一环境而深受欢迎15。MTALAB系统由五个主要部分组成,下面分别加以介绍:(1) MATALB语言是高层次的矩阵数组语言。具有条件控制、函数调用、数据结构、输入输出、面向对象等程序语言特性。利用它既可以进行小规模编程,完成算法设计和算法实验的基本任务,也可以进行大规模编程,开发复杂的应用程序。 (2) MATLAB工作环境这是对MATLAB提供给用户使用的管理功能的总称。包括管理工作空间中的变量数据输入输出的方式和方

41、法,以及开发、调试、管理M文件的各种工具。 (3) MATLAB图形系统的基础,包括完成2D和3D数据图示、图像处理、动画生成、图形显示等功能的高层MATLAB命令,也包括用户对图形图像等对象进行特性控制的低层MATLAB命令,以及开发GUI应用程序的各种工具。(4) MATLAB数学函数库这是对MATLAB使用的各种数学算法的总称。包括各种初等函数的算法,也包括矩阵运算、矩阵分析等高层次数学算法。 (5)MATLAB应用程序接口(API) 这是MATLAB为用户提供的一个函数库,使得用户能够在MATLAB环境中使用C程序或Fortran程序,包括从MATLAB中调用子程序(动态链接),读写MAT文件的功能。可以看出MATLAB是一个功能十分强大的系统,是集数值计算、图形管理、程序开发为一体的环境14。本课题中窗函数法设计步骤:(1) 首先是给定所要求的频率响应函数; (2.15)(2) 其次,求单位冲激响应; (2.16)(3) 再次,有过渡带宽及阻带最小衰减的要求,查表选定窗函数及的大小,一般N的大小要通过几次试探而后确定;(4) 求得所设计的FIR滤波器的单位冲激响应; ,n=0,1,,-1; (2.17) (5) 求,检验是否满足设计要求,如不满足则需要重新设计。2.4.7 MATLAB窗函数编程法设计FIR低通滤波器在本课题中设

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号