课程设计(论文)基于EDA技术的航空电源逆变控制电路设计.doc

上传人:laozhun 文档编号:4145869 上传时间:2023-04-07 格式:DOC 页数:22 大小:1.18MB
返回 下载 相关 举报
课程设计(论文)基于EDA技术的航空电源逆变控制电路设计.doc_第1页
第1页 / 共22页
课程设计(论文)基于EDA技术的航空电源逆变控制电路设计.doc_第2页
第2页 / 共22页
课程设计(论文)基于EDA技术的航空电源逆变控制电路设计.doc_第3页
第3页 / 共22页
课程设计(论文)基于EDA技术的航空电源逆变控制电路设计.doc_第4页
第4页 / 共22页
课程设计(论文)基于EDA技术的航空电源逆变控制电路设计.doc_第5页
第5页 / 共22页
点击查看更多>>
资源描述

《课程设计(论文)基于EDA技术的航空电源逆变控制电路设计.doc》由会员分享,可在线阅读,更多相关《课程设计(论文)基于EDA技术的航空电源逆变控制电路设计.doc(22页珍藏版)》请在三一办公上搜索。

1、基于EDA技术的航空电源逆变控制电路设计摘要:随着电子电路逆变技术的不断发展与完善,各种控制技术层出不穷。一方面重要部门对用电设备提出了很高要求,而高品质的电子电路需求也随着增加,另外电子电路设备的大量使用以及非线性负载需求的不断增加使得现在电网的谐波污染非常严重,造成了现在的供需不平横。因此,这几年高性能的SPWM逆变器的研究越来越受越到人们的关注,控制器也从以前的模拟电路时代逐步进入到现在的全数字控制时代。本实验是要求利用组合式三相逆变电路为模型,应用数字化自然采样法的原理,根据一种基于EDA技术的正弦脉宽调制法SPWM全数字化控制的解决方案。通过应用计数器和通过查表的方法,得出一种生成数

2、字化正弦信号的算法,并通过对正弦信号的频率和幅值的调制,结合VHDL硬件描述语言的设计灵活等特点,设计出基于FPGA的数字化正弦信号的发生器,仿真和试验结果表明,该方法具有实用价值,并能够很好的实现预定目标。此次逆变电路的作用是达到电源信号的转变,其具有很高的实际应用价值,所以更应该设计完美。 关键字:EDA FPGA 逆变电路 电源 目 录1 引言. 12 调制方案及总体设计. 2 2.1 调制方案. 2 2.2 建立数学模型 2 2.3 总体设计分析. . 4 2.4 SPWM数字化自然采样法的基本原理. . . . 53 功能模块设计方案. . . 7 3.1 数字化三角载波的设计. 7

3、 3.2 数字化正弦波的设计. . . 8 3.3 锁存器的设计. . 10 3.4 数字化比较器的设计. 11 3.5 分频计的设计. 12 3.6 顶层文件设计. 13 3.7 分频器CLOCK的设计. 14 3.8 初始化数据文件. 153.9 定制ROM元件. . 15 4 硬件组装调试. . 165 实验总结 186 参考文献 197 致 谢201 引言 随着电子技术、计算机技术和EDA技术的不断发展,利用FPGACPLD进行数字系统的开发已被广泛应用于通信、航天、医疗电子、工业控制等领域。与传统电路设计方法相比,FPGACPLD具有功能强大,开发周期短,投资少,便于追踪市场变化及时

4、修改产品设计,以及开发工具智能化等特点。近年来,FPGACPLD发展迅速,随着集成电路制造工艺的不断进步,高性价比的FPGACPLD器件推陈出新,使FPGACPLD成为当今硬件设计的重要途径。在FPGACPLD的应用设计开发中,VHDL语言作为一种主流的硬件描述语言,具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性,并在语言易读性和层次化、结构化设计方面。表现出了强大的生命力和应用潜力。(2) 设计内容及要求。本次实验是通过改变开关器件的导通时间或截止时间来改变占空比,通过控制输出的电压脉宽系列的变化规规律来满足输出的要求。

5、为满足设计要求,本文采用正弦波脉宽调制(SPWM)方法,当然SPWM波形产生的方法也是多种多样,有自然采样法、规则采样法和直接面积等效法等。(3)比较和选择设计的系统方案,画出系统框图本文所要提及的逆变电源的特殊性在于他不但能够提供三相正弦平衡电源,而且要保证在任何内外界环境干扰条件下,任何两相间的相电压幅值与频率保持高度稳定(进行工作状态) 。因此采用的逆变主电路是由3 个单相全桥式逆变器组合成的三相逆变电路如图1.0 所示。 图1.0 三相逆变电路如何控制逆变主电路中开关器件的工作成为本系统能够达到要求的关键,为了加快开发进度,更为重要的是提高设计的灵活性和精确度,引入现代EDA(电子设计

6、自动化)技术,采用VHDL 硬件描述语言进行控制逻辑编程,配置于大规模可编程器件CPLD/ FPGA 芯片上,对主电路的工作状态进行控制,以获得符合要求的电源电压及波形。CPLD/ FPGA 可以在办公室或实验室里方便地设计出所需的专用集成电路,具有静态可重复编程和动态在系统重构的特性,使得硬件的功能可以像软件一样通过编程来修改,极大地提高了电子系统设计的灵活性和通用性。2 调制方案及总体设计2.1 调制方案 脉宽调制( PWM) 是指工作频率不变(即工作周期不变) ,通过改变开关器件的导通时间或截止时间来改变占空比,控制输出的电压宽,通过控制脉宽系列的变化规律来满足输出的要求。 为满足设计要

7、求,本文采用正弦波脉宽调制(SPWM)方法,当然SPWM 波形产生的方法也是多种多样,有自然采样法、规则采样法和直接面积等效法等。与其他方法相比,等效面积SPWM 算法具有算法简易、谐波含量小、输出波形贴近理想值等特点,因此采用等效面积SPWM 算法进行脉宽调制,进而实现对主电路器件的运转周期过程进行控制。2. 2 建立数学模型 首先把单个周期正弦波形分为N 等份,然后如图2.0所示将每一等份的正弦弧线与横轴所包围的面积用与其面积等同的等高不等宽的矩形脉宽来代替,矩形脉宽的中点与正弦弧线在横轴上的投影中点要重合。于是,由N 个等高的矩形脉宽系列构成的波形就等同于正弦波形 1 ,这一系列矩形脉宽

8、的宽度及其开关(即开关器件的导通截止时间) 可用数学方法进行计算。 图2.0 面积等效法调制图如图2.0 所示是第K个脉宽,对应的正弦弧线与横轴所包围的面积SA K ,脉宽矩形面积S RK 。|=MUs|cos- cos|=s=Us(-)SAk =| MUs4 k1ksind| = MUs | cos 1 k - cos 4 k |= S Rk = Us (2 k - 3 k)其中,M 为调制参数。由于将正弦波形分为N 个等份,每一等份的弧度为:k = 4 k - 1 k =2/N第k 个脉宽的宽度为:pk =3 k - 2 k ,前后两低电位的宽度为:nk =k pk/2。2. 2 设计计算

9、 N 取12 ,根据正弦波形的对称性,实际矩形脉宽宽度只要算出3 个就足够了,取正半周的前半部分进行计算,利用数学工具Matlab 易算出如表1 所列的结果(其中M取0. 813 ,mk 为第K 个等份的中点, 表2.0 中所列出的主要是对下文叙述有用的数据) 。 表2.0 脉冲系列的计算过程及结果2.3总体设计分析 图2.1 总体设计模块 图2.1为此次航空逆变电路的总体设计模块,由此模块可以设计制造出符合要求的模板。在此模板上我们采用了8位输出的软件程序。 由于采用CPLD/ FPGA 作为控制电路的硬件载体,通过VHDL 硬件描述语言的编程对硬件进行功能的描绘,运用的是数字化的控制方式,

10、因此必须将表中的数据进行转换。假设每个等份的计数值为600 ,将表中的数据皆与(600/ 0. 523 598 77) 相乘并取整以便V HDL 编程。笔者接入的晶振为100 MHz ,根据相电压的频率X ,首先对他进行(100 106 / X) 分频,因此第一步设计一个数控分频器作为主频,不但可以达到要求,还便于实验的调节。每个脉宽产生的设计思路是,设计一个可逆计数器,顺序是29920202299 ,取出相应的脉宽一半的数值( 转换后) ,将数值与计数器的变量进行比较,若变量大则输出0 ,否则输出1 ,这样保证了脉宽准确地处于等份的中央。由于电压波形的每个周期内要求有12 个脉宽,且每个脉宽

11、的宽度有严格的变化规律,因此,在设计中,每个周期对应的计数量为600 12 。设计一个0 599 计数器CNT1 ,每计到599 时,产生一个脉冲进行再计数CNT2 计数范围为011 ,这样在CNT 从011 变化一趟对应波形的一个周期。所以结合CNT1 和CNT2 可以按规律取出对应的脉宽序号,通过查寻方式取出对应的脉宽宽度一半的数值,用于比较产生脉宽,同时加入正负半波的标志位FLAGA ,便于对单相全桥式逆变器各开关器件的控制。以上叙述的是对单一相电压波形的调制设计,还有另两相A ,B ,为满足相位平衡,可直接在计数器CNT1 中加入另两相的脉宽比较输出,但是取出用于比较的脉宽序号是不一样

12、的,B 相滞后A 相23,C 相又滞后B 相23,因此B相在CNT2 为4 时的脉宽序号与A 相在CNT 为0 时的脉宽序号一致,C 相同理,同时还要加入各自的正负半波的标志位FLAGB ,FLAGC。其实逆变电源的特殊要求就在于在三相负载不平衡条件下能够保证输出的电源品质不变,因此,每个相的脉宽必须各自能够进行自我调节,以达到各相电压幅值一样,在编程中,必须对每相的电压调节分开,这样,相同脉宽序号下,各相的脉宽宽度并不一定相同,还要根据反馈进行调节,脉宽相应的数值是变化,因此,对每一相的脉宽宽度分开利用查寻表(如图3 所示,有3 个存储器A ,B ,C)的方式进行获取。2.4 SPWM数字化

13、自然采样法的基本原理 SPWM波可以通过计算法或调制法来生成。计算法是根据正弦波输出频率、幅值、半个周期内的脉冲数,通过准确计算SPWM波中各脉冲的宽度和间隔,按照计算结果控制主电路中各开关器件的通断,得到所需要的SPWM波形。计算法是很繁琐的,当需要输出的正弦波的频率、幅值或相位变化时,结果都要变化。调制法即把希望输出的波形作为调制信号,把接受调制的信号作为载波,通过信号波的调制得到SPWM波形。所有这些控制方法所追求的目标,都是使输出的波形中谐波最少,最接近正弦波。本文基于现场可编程门阵列(FPGA)新技术,根据自然采样法基本原理,探讨一种实现SPWM的全数字化方案。在FPGA中所有的数字

14、信号均为并行处理,其实时性要好得多。因此在一定工程应用的范围内,全数字化SPWM可以和自然采样法调制效果相逼近。故称之为数字化SPWM自然采样法,它既有数字电路稳定可靠无漂移、便于集成等优点,又有可重复编程、设计灵活的优势,还有响应快、精度高等接近自然采样法的调制效果。调制法又分为单极性和双极性SPWM控制方式。本文采用的是单极性调制法图2.2为单极性SPWM波形生成的原理图,b与一b为三角载波的正负峰值。a为正弦调制波的幅值最大值。图2.3为单极性SPWM波形生成的等效原理图,将正弦波的负半部分绕横轴翻转。在正弦调制波的正半周期内,当正弦调制波的幅值Us(t)大于三角载波的幅值Uc(t)时,

15、SPWM波形为高电平;反之,SPWM波形为低电平。当在正弦调制波的负半周期内,通过零检测点判断,当正弦调制波的幅值认(t)大于三角载波的幅值Uc(t)时,SPWM波形为低电平,反之,SPWM波形为高电平。 图2.2 单极性SPWM波形原理图 图2.3 单极性SPWM波形等效图 利用调制法原理,如果是在正弦波和三角波的自然交点时刻控制功率开关器件的通断,这种生成SPWM波形的方法称为自然采样法。数字化SPWM自然采样法是用数字电路代替模拟电路来实现SPWM自然采样法的方法,即用数字比较器对数字化正弦调制波与数字化三角载波的幅值进行实时比较,并据此决定SPWM的输出波形,数字化5PWM自然采样法原

16、理如图5所示。 图2.4数字化SPWM自然采样法原理示意图3 功能模块设计方案3.1 数字化三角载波的设计 在可编程逻辑器件中,PwM波形发生器中的三角载波就是由加法计数器来产生的,计数器从O加到设定值(即计数器最大值N),再从设定值减到O,周而复始,生成数字的载波。下图是三角波模块生成流程图。 图3.1 数字化三角载波设计流程图 在本设计中,计数器的设定值在模块内部设定。代码如下:library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;use ieee.std_logic_arith.all;entit

17、y TRII is port ( clk,reset : in std_logic; S : out std_logic_vector(7 downto 0);end entity;architecture one of TRII isbegin process( clk,reset ) variable a: std_logic; variable tmp: std_logic_vector(7 downto 0); begin if reset = 0 then tmp:= 00000000; elsif rising_edge(CLK) then if a = 0 then if tmp

18、 =11111110 then tmp:=11111111;a:=1;elsetmp:=tmp + 1; end if; else if tmp = 00000001 then tmp:=00000000; a:= 0; else tmp := tmp - 1; end if; end if;end if; s = tmp;end process;end one; 仿真结果图所示如下: 图3.2 数字化三角载波的仿真结果图 3.2 数字化正弦波的设计 在经典的设计方法中,正弦波的生成,是通过DDS数字调制的方法生成的。但是由于作者本人的能力所限,在本次设计中并没有采用这种方法,而是采用了“微积

19、分”的方法,通过在很小的时间范围内联系描多点(64点)的方法,生成一个数字化“模拟正弦波”。其代码如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SIN IS PORT(CLK,CLR: IN STD_LOGIC; D: OUT INTEGER RANGE 0 TO 255);END SIN;ARCHITECTURE SIN_ARC OF SIN IS BEGIN PROCESS(CLK,CLR) VARIABLE TMP: INTEGER RANGE 0TO 63; BEGI

20、N IF CLR=0 THEN DDDDDDDDDDDDDDDDDDDDDDDDDDDDDdDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDNULL; END CASE; END IF; END PROCESS; END SIN_ARC; 仿真结果如下图所示: 图3.3 数字化正弦波的仿真结果图 由波形可以看出,虽然我们使用的方法比较落后,但是它的“质量”我们还是可以接受的。它产生的误差,是在我们所承受的范围之内的。 当然,不可置否的是,一个正弦波质量的优劣对于SPWM波形的准确性,及谐波产生的风险是有很大影响的,在这里还是要郑重的提出,一个标准的,具有实用性的SPWM波

21、形的产生,采用一个高精度,高质量的正弦波是非常必要的。3.3 锁存器的设计 在本次设计中锁存器的作用是暂存数字化三角波,数字化正弦波的输出波形,并在时钟的上升沿时,将波形送至数字化比较器。也就是说,锁存器起到了一个时延的作用,即将三角波,正弦波的波形延迟固定时间后,输出到数字化比较器中。其代码如下:library IEEE;use IEEE.std_logic_1164.all;entity ffd isport (CLK : in std_logic;DATA_IN_1 : in std_logic_vector (7 downto 0);DATA_IN_2 : in std_logic_v

22、ector (7 downto 0);DATA_OUT_1: out std_logic_vector (7 downto 0);DATA_OUT_2: out std_logic_vector (7 downto 0);end entity; architecture ffd_arch of ffd issignal TEMP_DATA_OUT_1,TEMP_DATA_OUT_2: std_logic_vector (7 downto 0); begin process (CLK)beginif rising_edge(CLK) thenTEMP_DATA_OUT_1 = DATA_IN_1

23、;TEMP_DATA_OUT_2 = DATA_IN_2;end if;end process;DATA_OUT_1 = TEMP_DATA_OUT_1; DATA_OUT_2 = TEMP_DATA_OUT_2; end architecture; 波形仿真如下: 图3.4 锁存器的波形仿真图3.4 数字化比较器的设计 数字化比较器的流程图如下: 图3.5 数字化比较器的流程图代码如下LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY COMPARE IS PORT (DAT1,DAT

24、2:IN STD_LOGIC_VECTOR(7 DOWNTO 0); P1:OUT STD_LOGIC_VECTOR(7 DOWNTO 0); END ENTITY COMPARE;architecture art of compare is BEGIN: PROCESS(DAT1,DAT2) BEGIN IF(DAT1(7 DOWNTO 0)DAT2(7 DOWNTO 0) THEN P1=(11111111); ELSE P1=(00000000); END IF;END PROCESS;END ARCHITECTURE ART;3.5 分频计的设计由于硬件的速度要远远低于软件的执行速度,

25、因而,在设计电路中加入分频器,将系统产生的50MHz分化为硬件级的可用的频率。在本次设计中,采用4分频分频计,进行模拟仿真。其代码如下: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity fen isport( CLK:IN std_logic; PU1:OUT std_logic );end fen;architecture div of fen is signal Q:std_logic_vector(7 downto 0)

26、; begin process(CLK) begin if CLKEVENT AND CLK=1 then if(Q=11111111)then Q=00000000; else Q=Q+1; end if; end if; end process; PU1=Q(2);-64Hz end div;波形仿真如下: 图3.6 分频计的波形仿真图3.6 顶层文件设计 通过先前的准备,我们知道,一个SPWM波形的生成需要至少5个模块:数字化三角波发生器、正弦波发生器,数字化比较器、分频器和锁存器。通过3.3-3.8节所描述的工作后,我们已经得到了全部所需要的5个模块。在此,我们运用原理图法来生成顶层实

27、体。 具体的实现方法是,将上述5个模块,通过我们的设计软件,生成可以移植,调用的原理图文件,在将其在顶层设计中直接调用即可。本次设计生成的顶层实体如下图所示: 图3.7 顶层文件图对其进行编译、仿真,结果如下: 图3.8 顶层文件仿真图3.7 分频器CLOCK的设计代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity CLOCK isport( clk,RST:in std_logic;CP_DADT:IN STD_LOG

28、IC_VECTOR(7 DOWNTO 0);CP:out std_logic);end ;architecture arch of CLOCK is beginprocess(clk)variable data:integer range 0 to 97656;VARIABLE X:STD_LOGIC;beginif clkevent and clk=1 then if DATA97656 then data:=data+1;else data:=0;X:=NOT X;END IF;END IF;CP=X;end process; end arch;3.8 初始化数据文件 首先在Quartus

29、II中打开ROM数据文件编辑窗口,即选择File|New命令,选择Memory Initialization File选项,单击ok按钮后产生ROM数据文件大小选择窗口。根据需求采用1024点9位数据的情况,单击OK按钮,如图所示,然后将波形数据填入此表中。 图3.9 ROM数据文件编辑窗口3.9 定制ROM元件1、打开MegaWizard Plug-In Manager 打开MegaWizard Plug-In Manager的初始对话框。选择Tool | MegaWizard Plug-In Manager命令,如图定制一个新的模块。点击next按钮,按图选择。图3.10 MegaWiza

30、rd Plug-In Manager的初始对话框2、选择ROM控制线和地址、数据线 在所示窗口选择地址和数据的位宽分别为10和9 图3.11 ROM窗口3、选择数据文件 图3.12 数据窗口4 硬件组装调试我们需要做的硬件要求能够实现目的,选好所需要的元件在开发板上设计并制造出我们的实验所需的硬件。本实验我们采用的是8位输出的软件程序,所以我们选用的是8个发光二极管来实现航空电源的逆变,在开发板上进行排步之后就是进行焊接组装了。然后进行调式检验,完成后的模块即为如图3.13所示。 图3.13 硬件组装图 5实验总结 本实验得主要目的是实现逆变电路的控制,并通过实验对此次电路的设计有更深刻的了解

31、。能够学以智用,举一反三,弄明白怎么来的,并增加了动手能力。 伴随着电子电路的高频化和复杂化的发展,电子电路的数字化控制将成为电子控制电路的一个重要发展趋势。随着EDA技术的进步和软/硬件技术的发展基于可编程逻辑器件FPGA的数字控制器和SPWM的开发,具有可移植性和易于实现定制化的特点,与高频化、小型化、模块化和智能化的直流开关电源发展趋势非常相符。在便携式产品等控制精度要求较高的场合有广泛的应用前景。我们主要是在电子电路变换领域,特别是直流开关电源数字控制方面,运用FPGA进行了一些知识,实现了DC开关电源控制器的数字控制,具体要做以下几项工作: 1.分析讨论SPWM逆变控制器的工作原理及

32、其结构模型,介绍EDA技术的发展及其优点,并详细分析和利用可编程逻辑器件来设计SPWM控制器的优势。 2.简要分析FPGA器件的特征和结构。在给出本芯片应用目标的基础上,完成了器件的选择和相关开发环境和工具的选取。详细介绍FPGA设计流程,概要介绍了QuartusII相关流程。 3.采用数字采样法实现SPWM波的生成。具有频率转换快、分辨率高、频率合成范围宽、相位噪声低且相位可控制的优点。 4.在介绍了正弦脉宽调制(SPWM)技术,比较了几种数字PWM产生方法,根据现有的技术,给出了结合锁存器和比较器的SPWM波生成方法。 5.详细介绍了数字三角波、正弦波的产生,各控制器的设计。经验证PWM控

33、制器具有结构简单、响应速度快、易修改,实现了系统的高速动态响应,达到精确控制的良好效果。本实验不仅对逆变控制器的实现技术做了初步的研究,还对其基本电路的构成,调制波的产生经行了研究。使得对整体系统的优化,软开关的最优设计得到很好解决。对电路的其他功能,像AD采样转换,人机接口等都进行了详细的了解与研究。因此,后面的研究应该由以下几个部分组成:(1) 对于已经完成的逆变器设计可以通过NIOS转化、优化为IP核,以方便其应用到UPS、电机拖动程序等电力电子控制设备中。(2) 完成人机接口,A/D采样控制,研究新的算法,代替旧的算法。(3) 通过NIOS核,进行软硬件结合的心的设计方法,来取代现有单

34、一的纯硬件电路设计的方法。五对于这次航空逆变电源的设计,我对航空电源有了一定的了解。在太空主 要是利用太阳能发电,在未来随着对电力的供求的要求越来越大。太阳能发电会成为主要的供电来源。随着科技的发展,以后不会仅仅对航空电源有这样的严格要求,而是对所有的涉及电源方面的领域都有这样的要求。所以我想在将来对电的要求会越来越高。我设想将来会普遍利用太阳能发电,然后经过一个大型的控制电路,以达到对电的要求然后在输入每家每户!6参考文献【1】潘松,黄继业.EDA技术使用教程(第三版) 科学出版社2006【2】张占松,蔡宣三.开关电源的原理与设计(修订版)电子工业出版社2004【3】刘欲晓 等 EDA技术与VHDL技术 电子工业出版社 2009.4【4】王兆安 等 电力电子技术 电子工业出版社 2004【5】刘晓燕.基于FPGA的高频PWM开关电源控制器设计 硕士论文 2009.6【5】张晓菁.基于FPGA的逆变电源控制器的研究 硕士论文 2009.5【6】许前高.基于FPGA的高频PWM控制多重逆变器的设计 硕士论文 2003.1【7】窦伟.数字化DC/AC正弦波电源 硕士论文 2004.6【8】殷文春 等 PWM控制电路基本原理与FPGA 期刊文章 2009.1【9】李芳芳.基于FPGA的逆变器研制 硕士论文 2008.4【10】李国强.SPWM电源逆变电路的设计 期刊文件 2005.3

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号