verilog秒表代码.doc

上传人:文库蛋蛋多 文档编号:4146788 上传时间:2023-04-07 格式:DOC 页数:3 大小:16KB
返回 下载 相关 举报
verilog秒表代码.doc_第1页
第1页 / 共3页
verilog秒表代码.doc_第2页
第2页 / 共3页
verilog秒表代码.doc_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

《verilog秒表代码.doc》由会员分享,可在线阅读,更多相关《verilog秒表代码.doc(3页珍藏版)》请在三一办公上搜索。

1、module stopwatch(clk,out,reset,cin,ocom,count);output3:0 ocom;output7:0 out;output count;input cin,clk,reset;reg 7:0 out_ms,out_s;reg7:0 out_min;reg7:0 out;reg3:0 ocom;reg3:0 in_out;reg clk_m,clk_n,clk_p;reg1:0 select;reg count;integer count_clk,count_cp,count_tp;always (posedge clk)if (count_cp = 5

2、0000) /50MHZ做50000次分频,取反后得到2ms的时间begin count_cp = 0;clk_n = clk_n;end else count_cp = count_cp + 1;always (posedge clk)if (count_clk = 25000000) /50MHZ做25000000次分频,取反后得到1s的时间begin count_clk = 0;clk_m = clk_m;end else count_clk = count_clk + 1;always (posedge clk)if (count_tp = 250000) /50MHZ做250000次

3、分频,取反后得到0.01s的时间begin count_tp = 0;clk_p = clk_p;end else count_tp = count_tp + 1;always (posedge clk_n) /2ms的数码管的扫描select=select + 1;always (select) /数码管的扫描begincase(select)2b00 : begin ocom3:0=4b1110;in_out = out_s3:0; end2b01 : begin ocom3:0=4b1101;in_out = out_s7:4; end2b10 : begin ocom3:0=4b101

4、1;in_out = out_ms3:0; end2b11 : begin ocom3:0=4b0111;in_out = out_ms7:4; endendcaseendalways (posedge clk_p)beginif(!reset) /若reset为低电平,四位数码管清零beginout_ms = 0;out_s =0;endelse if(cin) /秒表计数 beginif(out_s3:0=9)beginout_s3:0 = 0;if(out_s7:4=9)beginout_s7:4=0; if(out_ms3:0=9)beginout_ms3:0 = 0;if(out_m

5、s7:4=5)out_ms7:4 = 0;elseout_ms7:4 = out_ms7:4+1; endelseout_ms3:0 = out_ms3:0+1;endelseout_s7:4=out_s7:4+1; end elseout_s3:0=out_s3:0+1;endendalways (in_out) begincase(in_out) /数码管的绑定4b0000 : out7:0=8b1001_0000;4b0001 : out7:0=8b1001_1111;4b0010 : out7:0=8b0101_1000;4b0011 : out7:0=8b0001_1001;4b0100 : out7:0=8b0001_0111;4b0101 : out7:0=8b0011_0001;4b0110 : out7:0=8b0011_0000;4b0111 : out7:0=8b1001_1101;4b1000 : out7:0=8b0001_0000;4b1001 : out7:0=8b0001_0001;default : out7:0=8bx;endcaseendendmodule

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号