基于EDA的多功能数字钟电路设计毕业设计.doc

上传人:laozhun 文档编号:4148116 上传时间:2023-04-07 格式:DOC 页数:22 大小:294.50KB
返回 下载 相关 举报
基于EDA的多功能数字钟电路设计毕业设计.doc_第1页
第1页 / 共22页
基于EDA的多功能数字钟电路设计毕业设计.doc_第2页
第2页 / 共22页
基于EDA的多功能数字钟电路设计毕业设计.doc_第3页
第3页 / 共22页
基于EDA的多功能数字钟电路设计毕业设计.doc_第4页
第4页 / 共22页
基于EDA的多功能数字钟电路设计毕业设计.doc_第5页
第5页 / 共22页
点击查看更多>>
资源描述

《基于EDA的多功能数字钟电路设计毕业设计.doc》由会员分享,可在线阅读,更多相关《基于EDA的多功能数字钟电路设计毕业设计.doc(22页珍藏版)》请在三一办公上搜索。

1、摘 要 电子设计自动化Electronic Design Automation (以下简称EDA)技术已经代替传统的集成电路设计方法,逐渐成为电子系统设计者的主要设计手段。MAX+plus II是EDA仿真软件之一。具有功能强大、界面友好和使用方便等特点,是目前教育与工业界流行的集成电路辅助设计软件。MAX+plus II 软件是一种在电子技术工程与电子技术教学中广泛应用的优秀计算机仿真软件,被誉为“计算机里的电子实验室”。本文介绍了一种基于MAX+plus II 软件设计数字钟的方法。 关键词 : EDA MAX+plus II 软件 数字电子钟Abstract Electronic Des

2、ign Automation Electronic Design Automation (EDA of the following abbreviation) technology has replaced the traditional method of integrated circuit design, has gradually become the main design method of electronic system design.MAX+plus II is one of EDA simulation software.With powerful function, f

3、riendly interface and easy to use and other characteristics, is the current education and industry popular integrated circuit computer aided design software.MAX+plus II is a technology in electronic engineering and electronic technology teaching in extensive application of excellent computer simulat

4、ion software, known as the computer electronic laboratory.This paper introduced one kind based on the MAX+plus II software design method of digital clock. Key words: EDA MAX+plus II software digital electronic clock基于EDA的多功能数字钟电路设计 前 言 随着计算机在国内的逐渐普及,计算机已经渗入到每一个行业,计算机与每个工作领域紧密地联系在了一起。使用计算机可以大大提高工作效率。

5、和科技投入,技术作为信息化社会的重要技术基石,已经成为20世纪下半叶乃至21世纪发展最迅速、最活跃、最具有渗透力的技术。实现信息化的网络及其关键部件不管是各种计算机还是通讯电子设备,它们的基础都是集成电路。集成电路技术发展至今,全世界数以万亿美元计的设备和科技投入,已使电子技术形成非常强大的产业能力。电子技术水平发展如此迅速,在于它具有极大的市场应用需求。科学技术发展到今天,衡量许多电子产品技术含量高低在很大程度上取决于电子技术的引入水平。然而,孤立地发展电子技术是没有出路的,它必须同各种生产实践相结合,以社会需求为动力。 随着计算机新技术的发展以及电子系统设计新需求的上升,技术竞争需要的是对

6、新器件应用的需求,集成电路专业设计人员需要的是贯穿电子设计过程始终的最小人工花销、最强的自动化设计手段。正是在这种强烈的技术要求推动下,产生了电子设计自动化EDA(Electronic Design Automation)技术,EDA是新崛起的现代化电子设计手段。EDA技术的关键之一是EDA工具,EDA工具是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术最新成果而研制成的电子设计通用工具软件,本文就是介绍一种EDA工具MAX+plus II 软件设计数字电子钟。 第一章 EDA概述1.1 EDA技术概论 电子产品随着技术的进步,更新换代速度可谓日新月异。不同行业层出不穷的技术

7、需求,使得对配套电子系统或部件的功能、可靠性、集成度、成本、设计周期的要求日益提高。随着时间的推移,科学研究与技术开发行为日益市场化,而远非纯粹的学术行为,这要求设计工作必须在较短的时间内出色完成,技术人员感到工作压力越来越大。显然,采用传统的电子设计手段完成复杂电子系统设计显得越来越力不从心了,传统的电子设计与现实手段受到极大的挑战。如果在激烈的技术产品竞争中仍沿用老办法,很 可能在激烈的竞争中处于被动落后的境地,例如,当设计比较复杂的电子系统时,要等到做完全部硬件试验才开始设计印制电路板,这样,设计周期必然会相应拉长,即使设计出印制电路板来,也很难保证它的电气连接全部正确、各个元器件参数合

8、理以及完善的电磁兼容性能,如果需要设计实现的数字电路部分规模较大,仍习惯地利用中、小规模数字集成芯片实现,电路的集成度和可靠性在许多应用场合会受到很大限制,甚至根本无法满足需求。作为电路主体的器件,特别是集成电路器件功能越来越强大,集成度越来越高,传统设计方式已难以胜任。EDA即电子设计自动化,英文全称Electronic Design Automation,EDA技术是以计算机为工作平台,以EDA软件工具为开发环境,以硬件描述语言为设计语言,以可编程器件为实验载体,以芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程。EDA技术满足了提高设计规模、质量和设计的需要。目前,电子产

9、品开发领域的竞争十分激烈,其产品的市场周期越来越短,这意味着电子产品的设计开发周期必须大大缩短,没有高效率的设计手段是无法参与市场竞争的。EDA工具的优势体现在复杂电路系统的设计上,其设计效率远远高于人工设计,而且可按照事先规定的设计规则随时进行检查,及时提醒设计者出现的设计失误,设计质量得到保障,这自然会缩短周期、降低成本、提升竞争力,从而最大限度地提高经济效益。1.2 EDA在数字电路课程设计中的必要性数字电路课程设计是数字电路设计型实验中的重要部分,它要求运用电子技术课程中有关的理论知识和实验方法,完成一些综合性较强的设计课题。由于目前在数字电路实验教学中,大部分吧院校仍然用中小规模的通

10、用集成电路来实现设计功能,当设计的数字电子钟系统比较复杂,需要多个集成芯片和大量连线时,就增加了设计电路板的难度和故障调试难度,延长了设计周期,降低了学生的学习兴趣;同时,常用中小规模集成芯片的大量重复使用也大大增加了设计成本。因此,在数字电路课程设计中引入EDA技术,采用当前国际先进的设计方法和理念,改革传统的课程设计方法,已经成为一种趋势。应用EDA虚拟的电子实验平台,让学生坐在计算机旁进行各种电子线路的仿真实验与在实验室使用真实的仪器、进行电子线路实验,具有同样的感受和更具有真实的实验效果。学生可以在虚拟的元器件库中拿取元器件;可以在虚拟的仪器库中拿取仪器和仪表;同样可以用导线与电缆连接

11、电路;可以选择器件的参数,可以对电路进行调试、分析;可以在示波器的显示屏上观测到所测电压、电流的波形,可以通过仪表读取被测参数的值,这一切都非常逼真。做仿真实验一般不会损坏仪器,不会烧毁仪器(除非把计算机损坏)。只要按照规定的方法进行试验,都能够达到预期的实验目的。可见,用EDA软件进行仿真电子实验的确可以达到事半功倍的效果。所以在数字电路课程设计中学习EDA技术是非常必要的。1.3 MAX+plus II 概述 EDA技术的关键之一是EDA工具,EDA工具是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术最新成果而研制成的电子设计通用工具软件,主要能进行三方面的辅助设计工作:

12、(1) PCB印制电路板设计(2) ASIC(集成电路)设计(3) 电子系统设计(SOC) 没有EDA技术的支持,想要完成超大规模集成电路的设计是很难想象的,反过来生产制造技术的不断进步又必将对EDA技术提出新的要求。 Altera公司推出的MAX+plus II 软件是专门用于电子电路仿真的“虚拟电子工作台”软件,它是目前全球最直观、最高效的EDA软件。它的功能强大,能够提供电阻、电容、三极管、集成电路等数十大类几千种元件,能够提供示波器、万用表等十几种常用的电子仪器;具有强大的电路图绘制功能,可绘制出符合标准的电子图纸;它还具有强大地波形显示功能,并且结果可轻松放入各类文档。用该软件进行设

13、计、分析非常方便。本文在MAX+plus II 基础上设计电子时钟,是由数字集成电路构成、用数码管显示的一种现代计时器,与传统机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此广泛使用。 MAX+plus II 软件是针对数字电路的一个应用软件。它的特点是:无需画电路图,而是用编写代码的形式来描述电路的功能。把编写的代码经该软件在计算机上仿真,若满足技术需求,即可下载到CPLD的下载板上。 MAX+plus II 仿真软件的特点1. 多平台系统:MAX+plus II 的设计输入,处理与校验功能一起提供了全集成化的可编程开发工具,可以加快动态调试,缩短开发周期。2.

14、 开放的界面:MAX+plus II 可与其他工业标准的设计输入,综合和校验工具链接。具有EDIF、VHDL、Verilog、HDL以及其他的网表接口,便于与许多公司的EDA工具接口。3. 模块组合式工具软件:MAX+plus II 具有一个完整的可编程逻辑设计环境,包括设计输入,设计处理,设计校验和下载编程四个模块。4. 与结构无关:MAX+plus II 支持Altera的Classic,MAX5000,MAX7000,FLEX10K等可编程器件系列,提供工业界中唯一真正与结构无关的可编程逻辑设计环境。5. 硬件描述语言:MAX+plus II 支持各种HDL设计输入语言,包括VHDL、A

15、HDL和Verilog。 1.4 设计电子钟的可行性分析选用MAX+plus II 软件,以计算机作为载体。通过使用MAX+plus II 软件,设计实现一个数字电子钟。并使数字钟具有校时、校分、校秒及整点报时功能。掌握使用MAX+plus II 软件完成基本电路的设计。设计数字电子钟的条件具备,设计是可行的。 第二章 设计依据2.1 设计总体方案多功能数字钟电路的设计总体方案框图(如图2-1所示),由控制电路、两个60进制计数器、一个12进制计数器、译码器、显示器和扬声器组成。控制电路控制计数器计时和扬声器报时。计数器对秒、分、小时进行计时。当计时到11时59分59秒时,来一个计数脉冲,则计

16、数器清零,重新开始计时。译码器将计数器输出的BCD码计时结果转换成十进制送到显示器。显示器显示时、分、秒计时结果。 分显示器 秒显示器 时显示器 译 码 器 译 码 器 译 码 器CYSCYM60进制秒计数器60进制分计数器12进制时计数器 控 制 电 路CLK扬声器CLKCLK 图 2-1 设计总体方案框图2.2 设计原理数字电子钟由振荡器、分频器、计数器、译码显示器、报时等电路组成。其中振荡器和分频器组成标准秒信号发生器,由不同进制的计数器、译码器和显示器组成计时系统。秒信号送入计数器进行计数,把累加的结果以时、分、秒的数字显示出来。时显示由12进制计数器、译码器、显示器构成。分、秒显示分

17、别由60进制计数器、译码器显示器构成。可进行整点报时,计时出现误差时,可以用校时电路校时、校分。2.3 设计目标和方法 MAX+plus II软件作为电子钟设计工作平台,以数字电路为设计电子钟的理论基础,按照“自顶向下”的层次化设计方法设计该电路,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路实现,应用MAX+plus II 软件进行数字电路的设计与仿真。 MAX+plus II 软件的集成环境界面如下图3-2所示:图3-2 MAX+plus II 软件的集成环境界面 第三章 数字钟设计3.1 数字钟的设计要求(1)具有正确的星期、时、分、秒计时功能。(2)计时结果要

18、用6个数码管分别显示时、分、秒的十位和个位。(3)有校时功能。当键MINUTE按下时,分计数器以秒脉冲的速度递增,并按60min循环,即计数到59min后再回00。当HOUR键按下时,时计数器以秒脉冲的速度递增,并按24h循环,即计数到23h后再回00。当WEEK键按下时,星期计数器以秒脉冲速度递增,并按7天循环,即计数到日后再回到一。3.2 数字钟顶层结构 根据数字钟的功能要求,就可以对数字钟按照功能进行模块划分。图2-1是它的顶层电路原理图。在图2-1中,外界通过CLK端输入1Hz的脉冲信号。1Hz信号作为秒脉冲信号送入SECOND(60进制)计数器进行秒计时,满60s产生一个进位信号CA

19、RRY,经过或门被送入MINUTE(60进制)计数器进行分计数。当按下SECOND键后,1Hz秒脉冲信号通过或门被送入MINUTE(60进制)计数器进行分计数,从而实现快速校分功能。HOUR(24进制)计数器也是经过同样的过程实现计数和校时功能。时、分、秒计数器的输出均是十位和个位分开显示的8421BCD码,将这六组BCD码通过一个六选一数据选择器MUX6_1SCAN选出一组BCD码。由外界输入的CLKCAN信号作为MUX6_1SCAN的选择控制信号,然后再将选出的一组BCD码送至七段译码显示器进行译码。译码每输出结果同时送至6个LED数码管的a、b、c、d、e、f 7个段,至于哪个数码管能够

20、显示,取决于扫描控制信号SEL的输出结果,即SEL选择哪个数码管,那个数码管就点亮。用多个(个)数码管显示数据时有并行显示和动态扫描显示两种方式。所谓并行显示,是个数码管同时被驱动,它需要同时对组BCD码数据进行编译,并输出6组LED段驱动信号去驱动个数码管的个显示段,共需要42个I/O管脚,另外还需要个BCD/段译码器。本设计采用动态扫描显示,每次仅仅点亮个数码管,各个数码管轮流被扫描点亮,如果扫描的速度足够快,由于人眼存在视觉暂留现象,就看不出闪烁。开始工作时,先从组数据中选出一组,通过段译码器译码后输出,然后选出下一组数据译码输出。数据选择的时序和顺序由个进制计数器SEL控制,与此同时,

21、MUX6_1SCAN产生选通信号。这种显示方式需要的资源少,而且节能。 模块WEEK和WEEKDISP为星期(7进制)计数模块和显示模块,当时间为23:59:59时,一个秒脉冲过来,使时间为00:00:00并产生一个星期进位信号,星期计数器驱动显示模块显示相应的信息。图2-1 数字钟的顶层原理图3.3 数字钟各模块的VHDL源程序设计以下是数字钟各模块的VHDL程序及部分主要模块的仿真波形。3.3.1 秒计数器的源程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY second IS

22、 PORT(clk,reset:IN STD_LOGIC; sec1,sec2:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); carry:OUT STD_LOGIC);END second; ARCHITECTURE rt1 OF second IS SIGNAL sec1_t,sec2_t:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGIN PROCESS(clk,reset) BEGIN IF reset=1 THEN sec1_t=0000; sec2_t=0000; ELSIF clkevent AND clk=1 THEN IF sec1_t=

23、1001 THEN sec1_t=0000; IF sec2_t=0101 THEN sec2_t=0000; ELSE sec2_t=sec2_t+1; END IF;图3-1 秒计数器 ELSE sec1_t=sec1_t+1; END IF; IF sec1_t=1001 AND sec2_t=0101 THEN carry=1; ELSE carry=0; END IF; END IF; sec1=sec1_t; sec2=sec2_t; END PROCESS;END rt1;图3-2 秒计数器仿真波形图3-3 秒计数器局部放大仿真波形3.3.2 分计数器的源程序LIBRARY IE

24、EE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY minute IS PORT(clk,reset:IN STD_LOGIC; min1,min2:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); carry:OUT STD_LOGIC); END minute;ARCHITECTURE rt1 OF minute IS SIGNAL min1_t,min2_t:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGIN PROCESS(clk,reset) BEGIN IF

25、reset=1 THEN min1_t=0000; min2_t=0000; ELSIF clkevent AND clk=1 THEN IF min1_t=1001 THEN min2_t=0000; IF min2_t=0101 THEN min2_t=0000; ELSE min2_t=min2_t+1; END IF; ELSE min1_t=min1_t+1;图3-4 分计数器 END IF; IF min1_t=1001 AND min2_t=0101 THEN carry=1; ELSE carry=0; END IF; END IF; min1=min1_t; min2=min

26、2_t; END PROCESS;END rt1;图3-5分计数器仿真波形图3-6 分计数器局部放大仿真波形3.3.3 时计数器的源程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY hour IS PORT(clk,reset:IN STD_LOGIC; hour1,hour2:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); carry:OUT STD_LOGIC);END hour;ARCHITECTURE rt1 OF hour IS SIGNAL hour

27、1_t:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL hour2_t:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGIN PROCESS(clk,reset) BEGIN IF reset=1 THEN hour1_t=0000; hour2_t=0000; ELSIF clkevent AND clk=1 THEN IF hour1_t=0011 AND hour2_t=0010 THEN hour1_t=0000; hour2_t=0000; ELSE IF hour1_t=1001 THEN hour1_t=0000; IF hour2_t=

28、0010 THEN hour2_t=0000; ELSE hour2_t=hour2_t+1; END IF; ELSE hour1_t=hour1_t+1; END IF; END IF; IF hour1_t=0000 AND hour2_t=0000 THEN图3-7 时计数器 carry=1; ELSE carry=0; END IF; END IF; hour1=hour1_t; hour2=hour2_t; END PROCESS;END rt1;图3-8 时计数器仿真波形3.3.4 星期计数器的源程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL

29、;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY week IS PORT(clk,reset:IN STD_LOGIC; week:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); END week;ARCHITECTURE rt1 OF week IS SIGNAL week_t:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGIN PROCESS(clk,reset) BEGIN IF reset=1 THEN week_t=0000; ELSIF clkevent AND clk=1 THEN IF week_t=0111

30、 THEN week_t=0001; ELSE week_t=week_t+1; END IF; END IF; week=week_t; END PROCESS;END rt1;图3-9 星期计数器仿真波形3.3.5 扫描六选一多路选择器的源程序 扫描六选一多路选择器MUX6_1SCAN可根据CLKCAN信号选出不同的显示数据,并驱动相应的LED数码管显示数据。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY mux6_1scan IS PORT(clkscan,reset:IN S

31、TD_LOGIC; in1,in2,in3:IN STD_LOGIC_VECTOR(3 DOWNTO 0); in4,in5,in6:IN STD_LOGIC_VECTOR(3 DOWNTO 0); data:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); sel:OUT STD_LOGIC_VECTOR(2 DOWNTO 0);END mux6_1scan;ARCHITECTURE rt1 OF mux6_1scan IS SIGNAL count:STD_LOGIC_VECTOR(2 DOWNTO 0);BEGIN PROCESS(clkscan,reset) BEGI

32、N IF reset=1 THEN count=000; ELSIF clkscanevent AND clkscan=1 THEN IF count=101 THEN count=000; ELSE countdatadatadatadatadatadata=in6; END CASE;END PROCESS; selooooooodoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutqqqqqqqq=0000000; END CASE; END PROCESS;END behav; 结 束 语 随着电子设计自动化技术的普及,利用EDA技术进行数字电路课程设

33、计,不仅可以很好地锻炼学生的综合设计开发能力和动手能力,从而激发学生的学习兴趣,还可以大大节约数字电路课程设计实验的成本,提高设计效率。因此,将EDA技术应用于数字电路课程设计必将成为今后数字电路实验课程改革的新动向。EDA软件,可方便地在计算机上进行电路设计、仿真,其电路结构及设计观念可以很容易的被修正;也可方便的更换所需要的元件。通过模拟可快速地反映出所设计电路的性能。若能利用计算机辅助设计进行电路模拟与分析,则可有效地节约资源、缩短产品研发的成本与时间。 通过此次毕业设计论文,让我学到了许多,不止是一些新的理论知识和具体的动手实践。更重要的是一种思想,大的问题可以转化成小的问题解决,困难

34、的问题通过转化成简单的问题解决。相信这将对我今后的学习工作带来帮助。这次毕业设计论文,让我认识到我确少的很多,理论与实践都匮乏,不仅是专业知识,其它方面的知识了解的也少。在今后,需要不断的学习,不断的充实自身。 在本次毕业论文中,曾遇到过不少问题,如果单靠我个人的努力,很难按时完成的,在此,我衷心感谢我的指导老师,我学到了很多东西。知道老师认真负责的工作态度,严谨的治学精神和深厚的理论水平都使我获益匪浅。老师无论在理论上还是在实践中,都给予我无私帮助和悉心的教导,使我的毕业论文得以顺利的按时完成。另外,感谢学院领导、班主任以及各位同学对我的关怀、帮助,为我提供了良好的环境以及各方面的支持。参

35、考 文 献【1】盛蒙蒙,葛亦斌等.基于单片机实现多功能数字钟的系统设计 【J】硅谷,2009,27(20)【2】潘松,黄继业.EDA实用教程(第二版)科学出版社 2005【3】魏立峰,王宝兴.单片机原理与应用技术 北京大学出版社 2006【4】马丽萍,肖渊.基于Proteus的数字钟设计及仿真【J】.西安工程大学学报 2009【5】刘睿劼.基于FPGA的多功能数字钟的设计【J】应用技术与研究 2009【6】黄明,黄艳.多功能数字钟电路的设计与制作【J】.机械与电子 2009【7】邵文.基于MULTISIM8的数字钟设计【J】.光盘技术 2009【8】毕满青等电子技术实验与课程设计. 北京:机械

36、工业出版社 1995【9】谢自美.电子线路设计.实验.测试.华中科技大学出版社 2007【10】彭容修,刘泉,马建国.数字电子技术基础. 武汉理工大学出版社 2006【11】侯伯亨,顾新. VHDL.硬件描述语言与数字电路逻辑设计【M】.西安电子科技大学出版社 2001【12】李国洪,沈明山.可编程器件EDA技术与实践【M】. 北京:机械工业出版社 2004【13】李景华,杜玉远.可编程逻辑器件与EDA技术【M】. 沈阳:东北大学出版社 2000【14】刘斌儒,戴勇. 基于AVR单片机Mega16的电子时钟设计【J】 国外电子元器件 2008【15】刘建英.基于单片机的时钟设计【J】 内蒙古石油化工 2005

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号