基于FPGA的SPWM波形发生器.doc

上传人:文库蛋蛋多 文档编号:4148129 上传时间:2023-04-07 格式:DOC 页数:11 大小:1.71MB
返回 下载 相关 举报
基于FPGA的SPWM波形发生器.doc_第1页
第1页 / 共11页
基于FPGA的SPWM波形发生器.doc_第2页
第2页 / 共11页
基于FPGA的SPWM波形发生器.doc_第3页
第3页 / 共11页
基于FPGA的SPWM波形发生器.doc_第4页
第4页 / 共11页
基于FPGA的SPWM波形发生器.doc_第5页
第5页 / 共11页
点击查看更多>>
资源描述

《基于FPGA的SPWM波形发生器.doc》由会员分享,可在线阅读,更多相关《基于FPGA的SPWM波形发生器.doc(11页珍藏版)》请在三一办公上搜索。

1、 仿 真 报 告 摘 要正弦波逆变电源广泛应用于电力、邮电、通信、航天等各个领域,而且随着微机技术的不断发展和普及,正弦波逆变电源的应用将越来越广。SPWM逆变控制器是正弦波逆变电源的核心中枢,它利用SPWM技术对正弦波逆变电源的频率和幅值进行控制调节。本文提出了一种基于FPGA器件的SPWM逆变控制器的设计方法,利用Altera公司的EDA工具Quartus软件,采用自顶向下的方法对该控制器进行了详细设计。整个SPWM逆变控制器运用模块化结构设计,各模块易于移植,整个控制系统便于功能扩展和升级。关键词:FPGA;SPWM;功能时序仿真;直接数字频率合成Nowadays, DC-AC inve

2、rters with Sinusoid Pulse Width Modulation (SPWM) techniques are widely used in electric power, postal, telecommunications , aerospace and other fields. The SPWM controllers, which regulate the voltage and frequency by adjusting the SPWM signals, are the core of the inverters. The performance of the

3、 controller, directly determines the performance of the inverter.A design method of FPGA-based SPWM inverter controller is proposed in this thesis. Detailed design of this controller is carried out on Altera Quartussoftware, withthe top-to-down approach. The SPWM inverter controller is comprised of

4、seven functional modules, which are easy to transplant and upgrade. Therefore the whole control system can be upgraded easily. After the RTL level design is completed, simulation is made on every moduleKey words: Field Programmable Gate Arrays ; Sinusoid Pulse Width Modulation ;Function and Timing S

5、imulation; Direct Digital Frenqency Synthsis引言 新型电力电子器件和高性能微处理器的出现和发展, 使得PWM技术已成为电力电子技术中非常重要的组成部分, 多种方法可以产生SPWM脉宽调制波。常用的实现方法一种是模拟比较法, 即利用模拟电路对三角载波与正弦调制波进行比较, 产生SPWM脉冲; 另一种常用方法是利用具有的PWM发生电路的专用微处理器芯片( 如80C196MC、TMS320F240 等)产生PWM调制波。在这两种方法中, 模拟比较法特点是: 电路设计复杂, 与数字系统连接困难, 在使用微处理器时, 会导致处理器的机时增加, 对微处理器芯片要

6、求高。 近几年来PLD 器件不断换代更新, 结合数字频率合成技术DDS, 产生一种新的SPWM形成方法, 推动了变频技术发展。DDS 技术是一种直接数字合成方法, 不需要震荡和锁相环节, 直接将波形函数进行数字离散化, 以时间为地址,幅度为量化数据,依次存入波形存储器, 使连续的数据流通过数模转换器产生需要的波形。DDS 使用基准时钟和相位累加技术控制存储器地址的变化,从而达到控制输出波形的相位,频率,幅度的变化。以往的DDS 大多使用专用芯片, 然而在某些场合, 专用DDS 芯片在控制方式、调节频率等方面与系统的要求差距很大, 需要一种更加灵活的控制方式来达到这种要求。FPGA(现场可编程门

7、阵列)以其可靠性高、功耗低、保密性强、灵活的程序设计等特点, 在电子产品设计中得到广泛的应用。1 SPWM原理 SPWM 用输出的正弦信号作为调制波, 用高频三角波作为载波, 控制逆变器的一个桥臂的上、下两个开关管导通与关断。如果在半个正弦周期内, 只有上( 下) 桥臂的开关管反复通断, 下( 上) 桥臂开关管动作, 则称为单极式SPWM。如果在整个周期内, 上、下桥臂的开关管交替导通与关断, 即上通下断和上断下通的状态反复切换, 则称为双极式SPWM。图1 给出了双极式SPWM 的原理示意图。当载波与调制波相交时, 由该交点确定逆变器一个桥臂开关器件的开关动作时刻及开关通断状态, 获得一系列

8、宽度不等的正负矩形脉冲电压波形。该脉冲序列的特点是等幅不等宽, 其宽度按正弦规律变化;在正弦波半个周期内, 正负脉冲的面积总和与正弦波的面积相等。SPWM 调制的理论基础是面积等效原则, 图1中横轴代表时间, 因此SPWM 的理论依据实际是时间平均等效原理。图1 SPWM产生原理图 可以证明, 当脉冲数足够多时, 可以认为逆变器输出电压的基波幅值和调制波幅值是相等的, 即SPWM逆变器输出的脉冲波的基波幅值就是调制时要求的等效正弦波。2 SPWM波形控制器设计 系统由直接频率生成器产生低频正弦信号, 然后与三角波进行高速比较而产生SPWM。 2. 1 系统构图 图2 SPWM 波形控制器结构框

9、图。2. 2 SPWM波形产生设计SPWM 波是根据三角载波与正弦调制波的交点而得到的一系列脉冲, 其幅度不变而宽度按正弦规律变化。利用FPGA 生成SPWM 信号, 需要将数字三角载波数据与正弦调制波数据进行实时比较。当三角波计数器数据比正弦调制波数据大时, 输出1; 当三角波计数器数据比正弦调制波数据小时, 输出0。由此可以产生宽度按正弦变化但幅度不变的SPWM信号。 图3 SPWM模块的原理图3 各个模块及其程序3.1分频模块 图4 分频模块分频模块程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.a

10、ll;use ieee.std_logic_unsigned.all;entity fenpin ISport(clk:in std_logic; n:in std_logic_vector(8 downto 0); clkout:out std_logic);end fenpin;architecture rtl of fenpin is signal cnt:std_logic_vector(8 downto 0); signal nt ,n1: std_logic_vector(8 downto 0);begin n1=n-1; nt=0&n(8 downto 1);Process(n,

11、clk)begin if clkevent and clk=1 then if cnt=n1 then cnt=000000000;else cnt=cnt+1;end if;if cntnt thenclkout=0;else clkout=1;end if;end if;end process;end rtl;图5 20M分频到10M仿真结果3.2正弦波地址分配模块 图6 正弦波地址分配模块正弦波地址分配模块程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all; use ieee.std_logic

12、_unsigned.all;-std_logic_vectorENTITY add_sin ISPORT(clr,en,clk:in std_logic; addr_cnt64:out std_logic_vector(9 downto 0);END add_sin;ARCHITECTURE behave OF add_sin ISBEGINprocess(clr,en,clk)variable q64_v:std_logic_vector(9 downto 0);begin if clr=0 then q64_v:=0000000000; elsif clkevent and clk=1 t

13、hen if en=1 then q64_v:=q64_v+1; else q64_v:=0000000000; if q64_v=1111101000 then q64_v:=0000000000;end if; end if; end if; addr_cnt64= B) THEN Y =1; ELSE Y =0; END IF; END PROCESS; END behave;4仿真结果图图10仿真结果图参考文献1 潘 松、黄继业等 . EDA技术实用教程(第三版)M . 北京:科学出版社,20062 潘 松、王国栋等 . VHDL实用教程(修订版)M .成都:成都电子科技大学出版社,2

14、0013 黄正谨、徐坚等 . CPLD系统设计技术入门与应用 M . 北京:电子工业出版社,20024 黄俊. 电力电子变流技术M . 北京: 机械工业出版社, 1993.5 李永东. 交流电机数字控制系统M . 北京: 机械工业出版社, 2002.6 李林峰. CPLD 在SPWM 变频调速系统中的应用J . 电工技术,2002(3) : 93-108.7 Zhou Zhaoyong, Yang Guijie, Li Tiecai. Design and Implementation of an FPGA- Based-3-Phase Sinusoidal PWM VVVF Controller. 2004, 12(6): 1703- 1708. J .IEEE Transactions on Power Electronics, 2004, 12 (6) :1703- 1708.8 邵日明, 吴守. 新型三相脉宽调制发生器J . 电工技术杂志, 2004(8) : 77- 80.9 孙海涛, 杨振强. 基于CPLD+SCM结构的SPWM变频调速控制J . 电力电子技术, 2006 , 40(1) : 93- 94.10 罗宏浩, 刘少克. 新型SPWM调制技术J . 微特电机, 2004 (3) :55- 66.

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号