数控开关电源本科毕业设计.doc

上传人:文库蛋蛋多 文档编号:4149288 上传时间:2023-04-07 格式:DOC 页数:53 大小:1.02MB
返回 下载 相关 举报
数控开关电源本科毕业设计.doc_第1页
第1页 / 共53页
数控开关电源本科毕业设计.doc_第2页
第2页 / 共53页
数控开关电源本科毕业设计.doc_第3页
第3页 / 共53页
数控开关电源本科毕业设计.doc_第4页
第4页 / 共53页
数控开关电源本科毕业设计.doc_第5页
第5页 / 共53页
点击查看更多>>
资源描述

《数控开关电源本科毕业设计.doc》由会员分享,可在线阅读,更多相关《数控开关电源本科毕业设计.doc(53页珍藏版)》请在三一办公上搜索。

1、 桂林航天工业学院论文开关稳压电源Commencement regulated power supply专 业:通信技术学 生:叶天新指导教师:蒋志勇 副教授桂林航天工业学院电子工程系二零壹三年五月毕 业 设 计( 论 文 )评 语指导教师评语 签字: 201 年 月 日评阅教师评语 签字: 201 年 月 日毕业设计(论文)答辩记录成绩及评语答辩提问记录 记录人: 201 年 月 日答辩委员会评语成 绩:主任签字: 201 年 月 日桂林航天工业学院电子工程系毕 业 设 计 任 务 书装 订 线专业:通信技术 年级:2010041202 姓名叶天新学号35指导教师(签名)蒋志勇毕业设计题目开

2、关稳压电源任务下达日期2013年4月1日设计提交期限2013 年6 月10日设计主要内容设计并制作如图1所示的开关稳压电源。DC-DC变换器IINU2=18V DC+-UoRLIO+-本题只针对今年参加电子设计竞赛做本题并获奖同学主要技术参数指标在电阻负载条件下,使电源满足以下要求:1基本要求:(1) 输出电压UO可调范围:20V30V,最大输出电流IOmax:0.5A。(2) U2从15V变到25V时,电压调整率SU5%(IO=0.5A)(3) IO从0变到0.5A时,负载调整率SI5%(U2=18V)(4) 输出噪声纹波电压峰-峰值UOPP0.5V(U2=20V,UO=30V,IO=0.5

3、A)(5) DC-DC变换器的效率50%(U2=20V,UO=30V,IO=0.5A)2发挥部分:(1)具有过流保护功能,动作电流IO(th)=1.50.1A,当排除过流故障后,电源能自动恢复为正常状态。(2)能对输出电压进行键盘设定和步进调整,步进值小于等于1V,同时具有输出电压、电流的测量和数字显示功能。(3)尽量提高变换器的效率。成果提交形式完成硬件及软件设计,并编写设计说明书一份及制作实物一套设计进度安排2013、4、01到4、29完成相关资料的复习与查阅;2013、4、30到5、10查阅相关资料完成实物的制作;2013、5、11到5、21完成实物的调试以及修改、以便达到相应的要求;2

4、013、5、22到6、02根据实物以及相关资料完成论文的撰写;2013、6、03到6、10完成答辩相关资料的准备,完成本次设计。 教研室意见 签名: 200 年 月 日 系主任意见签名: 200 年 月 日桂林航天工业学院电子工程系毕 业 设 计 开 题 报 告装 订 线姓名叶天新学号201004120235指导教师蒋志勇毕业设计题目开工稳压电源同组设计目的意义 开关电源是一种比较新型的电源,它具有效率高,重量轻,可升、降压,输出功率大等优点,在很多领域逐步取代了线性稳压电源和晶闸管相控电源。在航天、潜艇、军用等方面得到了广泛的使用以及发展。因此,对开关电源的研究学习是我们新一代的方向,同时我

5、们还可以:1.掌握单片机的基本工作原理及其应用;2.学习DC/DC开关电源的工作原理和LCD12864液晶显示原理以及它们的软件编程原理;3.锻炼动手与操作能力。方案论证(1)方案一: 做两级的非隔离型的DC/DC变换,采用Boost升压变压器可实现电压的升高,采用Buck降压变压器可实现电压的降低,采用先升压后降压的模式,以STC89C52为控制核心。 (2)方案二: 直接做升降压的BuckBoost非隔离型的DC/DC变换,以MSP430单片机为控制中心;单片机产生的PWM直接控制开关管。(3)方案三: 使用电容式开关电源它们能使输入电压升高或降低,也可以用于产生负电压。由于电路是开关工作

6、的,电荷泵结构也会产生一定的输出纹波和EMI(电磁干扰)并且带负载的能力较差。 通过对上述三种方案的论证和比较,很容易看出,采用方案一,电路比较简单,软件设计也相对简单,输出精度高、易于制作、开关工作效率高故采用了方案一。时间安排2013、4、01到4、29完成相关资料的复习与查阅;2013、4、30到5、10查阅相关资料完成实物的制作;2013、5、11到5、21完成实物的调试以及修改、以便达到相应的要求;2013、5、22到6、02根据实物以及相关资料完成论文的撰写;2013、6、03到6、10完成答辩相关资料的准备,完成本次设计。 指导教师意见 签字: 年 月 日 审核小组意见 组长签字

7、: 年 月 日摘要开关电源是一种比较新型的电源。它具有效率高,重量轻,可升、降压,输出功率大等优点。但是由于电路工作在开关状态,所以噪声比较大。本系统以89C52为核心,电压可预置,步进电压为1V,输出电压范围为20V到30V可调,输出电流为00.5A,可显示预置电压,显示输出电压,输出电流。该系统主要由最小单片机系统,开关电源DC-DC模块电路,A/D信号采集以及D/A控制输出和过流保护部分、显示部分等组成。系统通过键盘预置电压值送给D/A控制电路形成闭环反馈回路,采样电压间接推算出电流并显示当电路的输出电流过大时控制电路会自动切断输出电压、蜂鸣器进行报警从而形成保护,当电流恢复正常时电路自

8、动回复功能。本系统具有调整速度快,精度高,电压调整率低,负载调整率低,效率高,无需另加辅助电源板,输出纹波小及过流保护等优点。 关键词:STC89C52、LM2576、LM2587、DC/DC变换、 ABSTRACTSwitching power supply is a comparative new type of power supply. It has high efficiency, light weight, can rise, the blood pressure, output power etc. But because the circuit work in the swit

9、ch state, so the noise is bigger.This system with 80 c52 as the core, voltage can be preset, stepping voltage for 1 v, output voltage range of 20 v to 30 v adjustable, output current is 0-0.5 A, it can display preset voltage, shows that the output voltage and the output current. The system is mainly

10、 composed of single chip microcomputer system minimum, switching power supply DC - DC module circuit and A/D signal acquisition and D/A control output and over-current protection part, display section, etc. System through the keyboard preset voltage value to D/A control circuit form closed-loop feed

11、back circuit, sampling voltage indirectly calculated current and display when circuit output current too big when control circuit will automatically cut off the output voltage, buzzer to alarm and formation protection, when current are back to normal that the circuit auto reply function. This system

12、 has the adjusting speed, accuracy, high voltage adjustment rate is low, negative ?KEY WORDS:“STC89C52”、“LM2576”、“LM2587”、“DC/DC conversion”、目 录第一章 稳压电源设计阐述11.1 技术指标11.2 开关稳压电源简介11.3 问题总结2第二章 方案论证31.1 单片机控制模块31.2 电源控制核心模块31.3 显示模块61.4 键盘输入模块61.5 系统各模块的最终方案6第三章 总体设计及模块简介71.1 STC89C52的介绍71.2 LM2576简介9

13、1.3 LM2587简介101.4 LCD12864的简介111.5 TLV5638的简介13第四章 硬件电路设计141.1 单片机最小系统141.2 主控电路设计151.3 升压电路设计161.4 降压电路设计161.5 过流保护电路设计171.6 按键电路显示电路18第五章 软件设计191.1 主程序流程图191.2 过流保护程序流程图201.3 AD0832模数转换程序流程图211.4 TLV5638数模转换程序流程图21第六章 绘制PCB、实物制作与调试221.1 Altium designer 09绘制原理图软件简介221.2 利用Altium designer 09绘制原理图231

14、.3 硬件电路的制作231.4 调试231.5 实验数据24参考文献25致 谢26附录一 电路原理图27附录二 程序清单29第一章 稳压电源设计阐述本次毕业设计,主要是完成开关稳压电源的设计,实现整机的供电系统,在电阻负载条件下,实现本次设计的技术指标,使电源满足相应的要求。1.1 技术指标根据本次设计技术指标,电源首先应该满足设计的基本要求,在能力范围内,完成发挥部分的设计。1、基本要求(1)输出电压UO可调范围:20V30V,最大输出电流IOmax:0.5A。(2)U2从15V变到25V时,电压调整率SU5%(IO=0.5A)(3)IO从0变到0.5A时,负载调整率SI5%(U2=18V)

15、(4)输出噪声纹波电压峰-峰值UOPP0.5V(U2=20V,UO=30V,IO=0.5A)(5)DC-DC变换器的效率50%(U2=20V,UO=30V,IO=0.5A)2、发挥部分:(1)具有过流保护功能,动作电流IO(th)=1.50.1A,当排除过流故障后,电源能自动恢复为正常状态。(2)能对输出电压进行键盘设定和步进调整,步进值小于等于1V,同时具有输出电压、电流的测量和数字显示功能。(3)尽量提高变换器的效率。1.2 开关稳压电源简介根据调整管的工作状态,常把稳压电源分成两类:线性稳压电源和开关稳压电源。开关电源是一种比较新型的电源。开关稳压电源(以下简称开关电源)问世后,在很多领

16、域逐步取代了线性稳压电源和晶闸管相控电源。早期出现的是串联型开关电源,其主电路拓扑与线性电源相仿,但功率晶体管工作于开关状态。随着脉宽调制(PWM)技术的发展,PWM开关电源问世,它的特点是用20kHz的载波进行脉冲宽度调制,电源的效率可达65%70%,而线性电源的效率只有3040。因此,用工作频率为20 kHz的PWM开关电源替代线性电源,可大幅度节约能源,从而引起了人们的广泛关注,在电源技术发展史上被誉为20kHz革命。随着超大规模集成芯片尺寸的不断减小,电源的尺寸与微处理器相比要大得多,而航天、潜艇、军用开关电源以及用电池的便携式电子设备(如手提计算机、移动电话等)更需要小型化、轻量化的

17、电源。因此,对开关电源提出了小型轻量要求,包括磁性元件和电容的体积重量也要小。此外,还要求开关电源效率要更高、性能更好、可靠性更高等。这一切高新要求便促进了开关电源的不断发展和进步。 1.3 问题总结根据本次毕业设计的指标要求,以及开关稳压电源具有效率高,重量轻,可升、降压,输出功率大等优点,利用开关稳压电源技术可以很轻松的完成本设计,并能轻松达到要求。第二章 方案论证本题要设计并制作一个开关稳压电源,要求输出电压U0可调其范围为:20V30V,最大输出电流为I0max=0.5A。根据对题目的要求以及对基本要求和发挥部分的理解,将对本次设计进行方案的论证以及选择,以达到一个较好的设计成果。2.

18、1 单片机控制模块方案一:采用51芯片AT89C51作为主控制台,通过行列式键盘直接接入51芯片并使用液晶显示器控制输入频率与幅度。采用XR-2206信号发生器,可以产生三个频率完全相同的信号,为正弦波、方波和三角波。其中方波信号被送至分频电路分频。可以达到题目所要求的10Hz1MHz的范围,但精确度会随着频率的增大而难以控制,失真度也会随之增大。当改变幅度时,很难达到题目所要求的范围。 方案二:采用89C2051开发制造产品,因为2051看起来体积比较小,功能也较全面.但是2051不是标准的51内核,所以89C2051的程序不能直接移植到51上。由于2051是精简型,所以P口变得很少,这样一

19、来可利用资源比较紧张,只能做一些简单的产品。因此,对于本设计来说是不想适应的。 方案三:采用SCT89C52作为主控芯片,该单片机是51单片机中增强型单片机,它体积小,工作稳定可靠,功耗低,抗干扰能力强等优点,使得本设计能G更加顺利地完成。方案论证:从题目要求以及以上方案来看,我们选择方案三比较合理。2.2 电源控制核心模块方案一:根据输入输出电压的要求,部分输出电压值包含在输入电压范围内,即要对输入电压实现升压或是降压功能,因此我们采用两级的非隔离型的DC/DC变换来解决这一问题,将电压升高到最大要求输出值,控制降压值,实现不同输出,进而解决了即升又降的问题。方案如下图2-1所示,采用Boo

20、st升压变压器可实现电压的升高,采用Buck降压变压器可实现电压的降低,采用先升压后降压的模式,以STC89C52为控制核心,用外部的AD(模数转换)对输出电压、电流大小进行检测并用LCD显示,用DA(数模转换)控制输出电压的大小。图2-1 方案一框图升压Boost型DC-DC变换器拓扑图的基本原理如下图2-2所示。图2-2 Boost型DC-DC变换器拓扑图SW是受控制电路决定的周期性导通的开关,L为升压电感,D为续流二极管,C为滤波电容。开关闭合的时间越长,电感中的电流也就越大,磁储能也就越多,开关断开期间向输出端馈送的能量越多,因此输出端的电压也就越高。这样,调节占空比d就可以实现调节输

21、出电压。同样,当输入电压发生变化时(变高或变低)也可以通过改变占空比达到稳定输出电压的目的,输入电压Vin与输出电压Vo的关系:Vo/Vin=1/(1-d) 公式(2-1)。降压Buck型DC-DC变换器拓扑图的基本原理如下图2-3所示。 图2-3 Buck型DC-DC变换器拓扑图S是受控制电路决定的周期性导通的开关,L为降压电感,VD为续流二极管,C为滤波电容。当开关闭合时,加在电感两端的电压为(Vi-Vo),此时电感由电压(Vi-Vo)励磁,电感增加的磁通为:(Vi-Vo)*Ton 公式(2-2)。当开关断开时,由于输出电流的连续,二极管VD变为导通,电感削磁,电感减少的磁通为:(Vo)*

22、Toff。当开关闭合与开关断开的状态达到平衡时,(Vi-Vo)*Ton=(Vo)*Toff 公式(2-3),由于占空比DVo,实现降压功能。方案二:直接做升降压的BuckBoost非隔离型的DC/DC变换,以MSP430单片机为控制中心;单片机产生的PWM直接控制开关管,片内的AD(模数转换)对输出电压、电流进行进行采样,经处理后用LED显示,同时也对采样回来的数据结合算法控制PWM的输出占空比,从而实现了电压的稳定输出。升降压的BuckBoost基本原理如下图2-4所示。图2-4 BuckBoost型DC-DC变换器拓扑图S是受控制电路决定的周期性导通的开关,L为降压电感,VD为续流二极管,

23、C为滤波电容。当开关闭合时,此时电感由电压(Vi)励磁,电感增加的磁通为:(Vi)*Ton;当开关断开时,电感削磁,电感减少的磁通为:(Vo)*Toff。当开关闭合与开关断开的状态达到平衡时,增加的磁通等于减少的磁通,(Vi)*Ton=(Vo)*Toff 公式(2-4),根据Ton比Toff值不同,可能ViVo。但其输出电压的极性与输入电压相反。BuckBoost型DC-DC变换器计算公式:Vo=(-Vi)* D/(1-D) 公式(2-5),D为充电占空比,既S导通时间。0D1。方案三:电容式开关电源它们能使输入电压升高或降低,也可以用于产生负电压。其内部的FET开关阵列以一定方式控制快速电容

24、器的充电和放电,从而使输入电压以一定因数(0.5,2或3)倍增或降低,从而得到所需要的输出电压。这种特别的调制过程可以保证高达80的效率,而且只需外接陶瓷电容。由于电路是开关工作的,电荷泵结构也会产生一定的输出纹波和EMI(电磁干扰)并且带负载的能力较差。方案论证:经过综合比较可以知道方案二的硬件电路要比方案一的简单,但是在软件方面恰好相反,方案三带负载的能力较差。而且51单片机我们已经能够熟练的使用,因此我们选择方案一。2.3 显示模块方案一:采用LED数码管显示。虽然功率低,控制简单,但却只能显示数字和一些简单的字符,没有较好的人机界面。方案二:1602液晶是工业字符型液晶,能够同时显示1

25、6*2即32个字符。1602液晶模块内部的字符发生存储器已经存储了160个不同的点阵字符图形,这些字这些字符有:阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,每一个字符都有一个固定的代码。使用时直接编写软件程序按一定的时序驱动即可。它的特点是显示字迹清楚,价格相对便宜。但是1602显示过于简单,不能显示文字。方案三:12864液晶也是一种工业字符型液晶,它不仅能够显示1602液晶所可以显示的字符,数字等信息,而且还可以显示8*4个中文汉字和一些简单的图片,显示信息也非常的清楚。使用时也直接编写软件程序按一定的时序驱动即可。方案论证:从题目要求以及以上方案来看,我们选择方案三比较理想。

26、2.4 键盘输入模块方案一:采用传统的独立式按键。这种方式占用系统的资源较多,而且效率低,程序的编写量大而复杂。 方案二:为了提高单片机的资源利用率,按键部分使用4*4矩阵式键盘。这种方法在开关数量多的情况下可以节省很多的接口,并且提高系统接口的利用率。 方案论证:确定选择采用方案二。2.5 系统各模块的最终方案经过仔细的分析与论证,决定系统各模块的最终方案如下: 控制模块:采用STC98C52控制; 显示模块:采用LCD12864显示; 键盘输入模块:采用4*4矩阵式键盘输入电压值;开关电源控制核心模块:做两级的非隔离型的DC/DC变换,先升压后降压; 第三章 总体设计及模块简介本开关稳压电

27、源的设计基于LM2587、LM2576升降压模块,采用Boost升压降压电路来实现预定的功能。由单片机STC89C52作为控制器。STC89C52单片机通过控制LM2576产生不同的电压,经滤波后输出,并通过LCD12864液晶模块和键盘按键进行人机交互。系统的总体框图如图3-1所示。图3-1 系统的总体框图用户从控制面板上按键输入命令,数据将显示在LCD12864上,并将命令传输到单片机,再由单片机控制LM2576芯片输出电压,并通过后级控制电路调整电压误差,最后输出所需的电压。因为是可调控制,调节按键可以输出不同的电压以及按照不同的步进增加或降低电压。2.1 STC89C52的介绍STC8

28、9C52RC单片机是宏晶科技推出的新一代高速/低功耗/超强抗干扰的单片机,指令代码完全兼容传统8051单片机,12时钟/机器周期和6时钟/机器周期可以任意选择。 主要特性如下: 1. 增强型8051单片机,6时钟/机器周期和12时钟/机器周期可以任意选择,指令代码完全兼容传统8051. 2. 工作电压:5.5V3.3V(5V单片机)/3.8V2.0V(3V单片机) 3. 工作频率范围:040MHz,相当于普通8051的080MHz,实际工作频率可达48MHz 4. 用户应用程序空间为8K字节5. 片上集成512字节RAM 6. 通用I/O口(32个),复位后为:P1/P2/P3/P4是准双向口

29、/弱上拉,P0口是漏极开路输出,作为总线扩展用时,不用加上拉电阻,作为I/O口用时,需加上拉电阻。 7. ISP(在系统可编程)/IAP(在应用可编程),无需专用编程器,无需专用仿真器,可通过串口(RxD/P3.0,TxD/P3.1)直接下载用户程序,数秒即可完成一片 8. 具有EEPROM功能 9. 具有看门狗功能10. 共3个16位定时器/计数器。即定时器T0、T1、T2 11. 外部中断4路,下降沿中断或低电平触发电路,Power Down模式可由外部中断低电平触发中断方式唤醒12. 通用异步串行口(UART),还可用定时器软件实现多个UART13. 工作温度范围:-40+85(工业级)

30、/075(商业级)14. PDIP封装图3-2 STC89C52RC引脚图2.2 LM2576简介LM2576系列的稳压器是单集成电路,能提供降压开关稳压器(Buck型)的各种功能,能驱动3A的负载,有优异的线性和负载调整能力。这些器件的固定输出电压有3.3V,5V,12V,15V以及还有可调节输出的型号,可调节输出型号输出电压范围在线性和负载条件下1.23V-37V可调(HV型号的57V)最大正负4%,低功耗。这些稳压器件内部含有频率补偿和一个固定频率振荡器,将外部器件大大减少器件,使电路简单。LM2576系列开关稳压集成电路的主要特性如下: 最大输出电流:3A; 最高输入电压:LM2576

31、为40V,LM2576HV为60V; 输出电压:3.3V、5V、12V、15V和ADJ(可调)等可选; 振东频率:52kHz; 转换效率:75%88%(不同电压输出时的效率不同); 控制方式:PWM; 工作温度范围:-40 +125 工作模式:低功耗/正常两种模式可外部控制; 工作模式控制:TTL电平兼容; 所需外部元件:仅四个(不可调)或六个(可调); 器件保护:热关断及电流限制; 封装形式:TO-220或TO-263。LM2576的内部框图如图3-3所示,该框图的引脚定义对应于五脚TO-220封装形式。图3-3 LM2576的内部框图LM2576内部包含52kHz振荡器、1.23V基准稳压

32、电路、热关断电路、电流限制电路、放大器、比较器及内部稳压电路等。为了产生不同的输出电压, 通常将比较器的负端接基准电压(1.23V),正端接分压电阻网络,这样可根据输出电压的不同选定不同的阻值,其中R1=1k(可调-ADJ时开路), R2分别为1.7 k(3.3V)、3.1 k(5V)、8.84 k(12V)、11.3 k(15V)和0(-ADJ),上述电阻依据型号不同已在芯片内部做了精确调整,因而无需使用者考虑。将输出电压分压电阻网络的输出同内部基准稳压值 1.23V进行比较,若电压有偏差,则可用放大器控制内部振荡器的输出占空比,从而使输出电压保持稳定。由图及LM2576系列开关稳压集成电路

33、的特性可以看出,以LM2576为核心的开关稳压电源完全可以取代三端稳压器件构成的MCU稳压电源。2.3 LM2587简介LM2587 系列稳压器是专为回扫、步升(升压)和正激转换器应用而设计的单片集成电路。此器件有4个不同的输出电压型号:3.3V、5.0V、12V和电压可调。这些稳压器所需外围元件最少,性价比高,而且简单易用。数据表中包含了升压型稳压器和回扫式稳压器的典型电路。同时还给出了元件的选型指南,包括二极管、电容器、一系列标准电感器以及与这些开关稳压器配合工作的回扫式变压器。电源开关是一个可承受65V电压的5.0A NPN器件。为电源开关提供保护的包括限流和限热电路以及一个欠压锁定电路

34、。此集成电路包含一个100 kHz固定频率内部振荡器,允许使用小型磁性元件。其他特性包括软启动模式和电流模式控制,其中软启动可以降低启动过程中的浪涌电流,电流模式控制可以提供改善的输入电压拒绝、输出负载瞬态和逐周期限流。在规定输入电压和输出负载的情况下,供电系统可保证4%的输出电压容差。LM2587开关稳压集成电路的主要特性如下: 仅需极少量外围元件 一系列标准电感器和变压器 5.0A、65V的NPN输出开关 宽广的输入电压范围:4V至40V 电流工作模式可改善瞬态响应、线路调节和限流性能 100 kHz开关频率 内部软启动功能降低了启动中的浪涌电流 限流、欠压锁定和热关断可保护输出晶体管 对

35、于线路和负载可保证最大4%的系统输出电压容差典型应用电路:图3-4 LM2587典型应用电路2.4 LCD12864的简介带中文字库的128X64是一种具有4位/8位并行、2线或3线串行多种接口方式,内部含有国标一级、二级简体中文字库的点阵图形液晶显示模块;其显示分辨率为12864, 内置8192个16*16点汉字,和128个16*8点ASCII字符集.利用该模块灵活的接口方式和简单、方便的操作指令,可构成全中文人机交互图形界面。可以显示84行1616点阵的汉字。也可完成图形显示。低电压低功耗是其又一显著特点。由该模块构成的液晶显示方案与同类型的图形点阵液晶显示模块相比,不论硬件电路结构或显示

36、程序都要简洁得多,且该模块的价格也略低于相同点阵的图形液晶模块。LCD12864基本特性如下: 低电源电压(VDD:+3.0-+5.5V) 显示分辨率:12864点 内置汉字字库,提供8192个1616点阵汉字(简繁体可选) 内置 128个168点阵字符 2MHZ时钟频率 显示方式:STN、半透、正显 驱动方式:1/32DUTY,1/5BIAS 视角方向:6点 背光方式:侧部高亮白色LED,功耗仅为普通LED的1/51/10 通讯方式:串行、并口可选 内置DC-DC转换电路,无需外加负压 无需片选信号,简化软件设计 工作温度: 0 - +55 ,存储温度: -20 - +60 模块接口说明:表

37、3-1管脚号管脚名称电平管脚功能描述1VSS0V电源地2VCC3.0+5V电源正3V0-对比度(亮度)调整4RS(CS)H/LRS=“H”,表示DB7DB0为显示数据RS=“L”,表示DB7DB0为显示指令数据5R/W(SID)H/LR/W=“H”,E=“H”,数据被读到DB7DB0R/W=“L”,E=“HL”, DB7DB0的数据被写到IR或DR6E(SCLK)H/L使能信号7DB0H/L三态数据线8DB1H/L三态数据线9DB2H/L三态数据线10DB3H/L三态数据线11DB4H/L三态数据线12DB5H/L三态数据线13DB6H/L三态数据线14DB7H/L三态数据线15PSBH/LH

38、:8位或4位并口方式,L:串口方式16NC-空脚17/RESETH/L复位端,低电平有效18VOUT-LCD驱动电压输出端19AVDD背光源正端(+5V)20KVSS背光源负端2.5 TLV5638的简介本次设计数模转换芯片采用TLV5638,TLV5638是TI公司的12位D/A转换器,具有两个输出通道,数据传输接口为3线的串行接口,该接口能够与常用的微控制器或者微处理器直接相连。每次传输数据由16位的数据组成一帧,其中4位控制命令字,12位输出数据。TLV5638输出经过两个缓冲器,DAC的可编程建立时间使得设计人员能够优化速度与功耗分配的关系。同时内置片上电压参考源,该参考源最大能达到1

39、mA的电流,因此也可以将其作为整个系统的参考源,减少了系统设计的复杂性。TLV5638的基本特性参数如下: 12位分辨率; 双输出通道; 可编程内部参考源; 可变成速度(建立时间):快速模式1s;慢速模式3.5s; 兼容SPI串行接口; 差分非线性度0.5LSB; 供电电源:2.7V5.5V DC。TLV5638的引脚配置如图3-5所示。图3-5 TLV5638的引脚配置TLV5638的引脚功能说明: DIN:串行数据输入 SCLK:串行接口时钟输入 /CS:片选信号输入,低电平有效 OUTA:A通道模拟电压输出 AGND:模拟地 REF:模拟电压参考输入/输出 OUTB:B通道模拟电压输出

40、VDD:供电电源(2.7V5.5V)第四章 硬件电路设计2.1 单片机最小系统单片机系统电路由STC89C52为核心,STC89C52拥有丰富的IO口和片上资源,是目前比较流行的单片机,该部分电路如图4-1所示,主要有时钟电路,复位电路构成。图4-1 信号产生与控制电路P0口:P0口是一个8位漏极开路的双向I/O口。作为输出口,每位能驱动8个TTL逻辑电平。对P0端口写“1”时,引脚用作高阻抗输入。当访问外部程序和数据存储器时,P0口也被作为低8位地址/数据复用。在这种模式下,P0具有内部上拉电阻。在flash编程时,P0口也用来接收指令字节;在程序校验时,输出指令字节。程序校验时,需要外部上

41、拉电阻。P1口:P1 口是一个具有内部上拉电阻的8 位双向I/O 口,P1 输出缓冲器能驱动4个TTL逻辑电平。对P1 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流。此外,P1.0和P1.2分别作定时器/计数器2的外部计数输入(P1.0/T2)和时器/计数器2的触发输入(P1.1/T2EX),在flash编程和校验时,P1口接收低8位地址字节。P2 口:P2 口是一个具有内部上拉电阻的8 位双向I/O 口,P2 输出缓冲器。能驱动4个TTL 逻辑电平。对P2 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输

42、入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流。在访问外部程序存储器或用16位地址读取外部数据存储器(例如执行MOVX DPTR)时,P2 口送出高八位地址。在这种应用中,P2口使用很强的内部上拉发送1。在使用8位地址(如MOVX RI)访问外部数据存储器时,P2口输出P2锁存器的内容。在flash编程和校验时,P2口也接收高8位地址字节和一些控制信号。时钟电路:STC89C52的XTAL1是片内振荡器的反相放大器输入端,XTAL2则是输出端,使用外部振荡器时,外部振荡信号应直接加到XTAL1,而XTAL2悬空。内部方式时,时钟发生器对振荡脉冲二分频,如晶振为12MH

43、z,时钟频率就为6MHz。晶振的频率可以在1MHz-24MHz内选择。电容取30PF左右。STC89C52中有一个用于构成内部振荡器的高增益反相放大器,引脚XTAL1和XTAL2分别是该放大器的输入端和输出端。这个放大器与作为反馈元件的片外石英晶体或者陶瓷谐振器一起构成自激振荡器。片外石英晶体或者陶瓷谐振器及电容C7、C8接在放大器的反馈回路中构成并联振荡电路。对外接电容C7、C8虽然没有十分严格的要求,但电容容量的大小会轻微影响振荡频率的高低、振荡器工作的稳定性、起振的难易程序及温度稳定性,这里采用电容30pF,晶振采用11.0592MHz。复位电路:STC89C52的外部复位电路有上电自动

44、复位和手动按键复位。上电复位电容充电来实现。手动按键复位又分为按键电平复位和按键脉冲复位。按键电平复位电路是在普通RC复位电路的基础上接一个有下拉电阻10K、上拉电容10f接VCC,电源由开关接至复位脚(和上拉电容并联),上拉电容支路负责在“上电”瞬间实施复位;开关通过10K下拉电阻分压器,保证对单片机实施按键电平复位。2.2 主控电路设计主控电路我们以STC89C52、A/D0832和TLV5638为核心,首先由以A/D0832和TLV5638为主的电路对DC-DC部分的输出电压进行采样实时送到单片机进行处理,然后再传到LCD12864显示数据,同时检测外部按键的数据输入来改变输出电压,当检测到负载过流信号的时候,单片机就会给LM2576部分电路的五脚输出一个高电平信号使降压部分输出电压为零从而是电路得以保护。连接方法如下图4-2所示:

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号