用VHDL语言实现的数码管显示程序(包含动态和静态).doc

上传人:仙人指路1688 文档编号:4150003 上传时间:2023-04-07 格式:DOC 页数:2 大小:13KB
返回 下载 相关 举报
用VHDL语言实现的数码管显示程序(包含动态和静态).doc_第1页
第1页 / 共2页
用VHDL语言实现的数码管显示程序(包含动态和静态).doc_第2页
第2页 / 共2页
亲,该文档总共2页,全部预览完了,如果喜欢就下载吧!
资源描述

《用VHDL语言实现的数码管显示程序(包含动态和静态).doc》由会员分享,可在线阅读,更多相关《用VHDL语言实现的数码管显示程序(包含动态和静态).doc(2页珍藏版)》请在三一办公上搜索。

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity shumaguan isport(clk:in std_logic; x:out std_logic_vector(7 downto 0); seg:out std_logic_vector(7 downto 0);end entity;architecture behave of shumaguan issignal clk1:std_logic;beginprocess(clk)variable count:integer range 0 to 49999999;begin if(clkevent and clk=1) then if(count=20000000) then -动态 -if(count=1000) then -静态 count:=0; clk1x=01111111;segx=10111111;segx=11011111;segx=11101111;segx=11110111;segx=11111011;segx=11111101;segx=11111110;segnull; end case; end process;end behave;

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号