电子密码锁的VHDL程序与仿真开题报告.doc

上传人:仙人指路1688 文档编号:4150029 上传时间:2023-04-07 格式:DOC 页数:9 大小:79KB
返回 下载 相关 举报
电子密码锁的VHDL程序与仿真开题报告.doc_第1页
第1页 / 共9页
电子密码锁的VHDL程序与仿真开题报告.doc_第2页
第2页 / 共9页
电子密码锁的VHDL程序与仿真开题报告.doc_第3页
第3页 / 共9页
电子密码锁的VHDL程序与仿真开题报告.doc_第4页
第4页 / 共9页
电子密码锁的VHDL程序与仿真开题报告.doc_第5页
第5页 / 共9页
点击查看更多>>
资源描述

《电子密码锁的VHDL程序与仿真开题报告.doc》由会员分享,可在线阅读,更多相关《电子密码锁的VHDL程序与仿真开题报告.doc(9页珍藏版)》请在三一办公上搜索。

1、毕业设计(论文)开 题 报 告 题 目 电子密码锁的VHDL程序与仿真 学 院 电气与控制工程学院 专业及班级 微电子1102班 姓 名 学 号 指 导 教 师 日 期 2015.3.23 西安科技大学毕业设计(论文)开题报告题 目电子密码锁的程序与仿真选题类型应用研究 一、选题依据 1.1 国内外研究现状及发展趋势随着人们生活水平的提高和安全意识的加强,对安全的要求也就越来越高。锁自古以来就是把守护门的铁将军,人们对它要求甚高,既要安全可靠的防盗,又要使用方便,这也是制锁者长期以来研制的主题。随着电子技术的发展,各类电子产品应运而生,电子密码锁就是其中之一。据有关资料介绍,电子密码锁的研究从

2、20世纪30年代就开始了,在一些特殊场所早就有所应用。这种锁是通过键盘输入一组密码完成开锁过程。研究这种锁的初衷,就是为提高锁的安全性。由于电子锁的密钥量(密码量)极大,可以与机械锁配合使用,并且可以避免因钥匙被仿制而留下安全隐患。电子锁只需记住一组密码,无需携带金属钥匙,免除了人们携带金属钥匙的烦恼,而被越来越多的人所欣赏。电子锁的种类繁多,例如数码锁,指纹锁,磁卡锁,IC 卡锁,生物锁等。但较实用的还是按键式电子密码锁。 20 世纪80年代后,随着电子锁专用集成电路的出现,电子锁的体积缩小,可靠性提高,成本较高,是适合使用在安全性要求较高的场合,且需要有电源提供能量,使用还局限在一定范围,

3、难以普及,所以对它的研究一直没有明显进展。目前,在西方发达国家,电子密码锁技术相对先进,种类齐全,电子密码锁已被广泛应用于智能门禁系统中,通过多种更加安全,更加可靠的技术实现大门的管理。在我国电子锁整体水平尚处于国际上70年代左右,电子密码锁的成本还很高,市场上仍以按键电子锁为主,按键式和卡片钥匙式电子锁已引进国际先进水平,现国内有几个厂生产供应市场。但国内自行研制开发的电子锁,其市场结构尚未形成,应用还不广泛。国内的不少企业也引进了世界上先进的技术,发展前景非常可观。 目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件,其编码器与解码器的生成为软件方式。在实际应用中,由于程序容易

4、跑飞,系统的可靠性能较差。基于现场可编程逻辑门阵列 FPGA 器件的电子密码锁,用 FPGA 器件构造系统,所有算法完全由硬件电路来实现,使得系统的工作可靠性大为提高。由于硬件描述语言VHDL能够把复杂的电路设计用形象化的语言描述成功,可以描述硬件电路的功能、信号连接关系以及定时关系,它比电路原理图更能有效的表示电路的特征。凭借硬件描述语言编程来表示逻辑器件及系统硬件的功能和行为,是自顶向下设计方法的一个重要特征。这种方法必须基于功能强大的EDA工具软件,集系统描述、行为描述和结构描述功能为一体的硬件描述语言,还有先进的ASIC制造工艺和FPGA开发技术。当今,“自顶向下”的设计方法已经成为现

5、代数字电子系统的首选设计方法,是ASIC和FPGA开发的主要设计手段。“自顶向下”的设计使用功能分割的方法,从顶向下逐次将设计内容进行分块和细化。在设计过程中采用层次化和模块化方式,使系统变得简洁和方便。其是一种逐步求精的设计程序的过程和方法。对要完成的任务进行分解,首先对最高层次中的问题进行定义、设计、编程和测试,而将其中未解决的问题作为一个子任务放到下一层次中去解决。这样来逐层、逐个地进行定义、设计、编程和测试,直到所有层次上的问题均由实用程序来解决完毕,就能设计出具有层次结构的程序。按自顶向下的方法设计时,设计师首先对所设计的系统要有一个全面的理解和把握。然后从顶层开始,连续地逐层向下分

6、解,起到系统的所有模块都小到便于掌握为止,这样有事半功倍的效果。 1.2 选题的研究意义在日常生活中和工作中,住宅的安全,单位的文件,和一些个人资料的保存。人们往往采用上锁的方法来解决。如果采用传统的机械锁,人们往往需要携带很多钥匙,既不方便,钥匙的丢失还会使安全性大打折扣。随着物质财富的积累和科学技术的发展,人们对锁的要求越来越高。密码锁代替机械锁成为一种趋势。密码锁具有安全性高、低成本、低功耗、易于操作,等等优点。在安保领域,有防盗报警功能的电子密码锁逐渐取代了传统机械密码锁。机械密码锁结构的复杂度和密码位数成正比成本高且安全性能差。而电子密码锁无论在技术上还是性能上都优于机械密码锁。现存

7、的密码锁大多是基于数字电路设计的,这种密码锁设计思路简单、易于实现,但是过多的原器件的组合给推广和流行带来了不便。根据这种情况研究出来一种功能强大、易于推广的密码锁已经迫在眉睫。现在广为流行的VHDL语言,描述能力强、覆盖面广、抽象能力强,所以采用VHDL建立硬件模型可以解决原器件过多而导致设计复杂的问题。用VHDL可以快速灵活地设计出符合各种要求的数字密码锁,而且操作简单,稍加修改就可以改变密码的位数,增强其安全性,且很容易做成ASIC芯片,使设计过程达到高度自动化,并能够在设计完成后在Quartus II 环境下进行电路的模拟仿真。随着大规模集成电路技术的发展,特别是硬件描述语言(VHDL

8、),出现了用微型智能密码锁,它是除了具有电子密码锁的功能外,还拥有了智能化管理,专家分析系统,从而使密码锁的作用,比如高安全性、可靠性,应用日益广泛的应用。人们对安全的重视和科学技术的发展,许多电子智能锁如,指纹识别、IC识别等已经出现在国内外。但是这些产品的特点需要一个特别的指纹或有效卡,成本相对较高不益于普及使用。针对当前技术和水平、市场接纳、电子密码锁是这类电子防盗产品的主流。参考文献: 1 侯伯亨,刘凯,顾新:VHDL硬件描述语言与数字逻辑电路设计M,西安电子科技大学出版社,2009.8。2 褚振勇,齐亮,田红心,高楷娟:FPGA设计与应用M,西安电子科技大学出版社,2009.9。3

9、Volnei A.Pedroni:Circuit Design with VHDLM,电子工业出版社,2013.1。4 刘昌华:EDA技术与应用基于QuartusII和VHDLM,北京航空航天大学出版社,2012.8。5 吴延海,刘晓佩,代新冠,黄健:EDA技术及应用M,西安电子科技大学出版社,2012.1。6 杨健,岳珣,王永喜:EDA技术与VHDL基础M,清华大学出版社,2013.3。7 武超,靳孝峰:EDA技术与应用M,北京航空航天大学出版社,2013.5。8 焦素敏:EDA应用技术M,清华大学出版社,2005。9 潘松:EDA技术与VHDLM,清华大学出版社,2005。10 李洋:ED

10、A技术实用教程M,机械工业出版社,200411李国洪:可编程器件EDA技术与实践M.机械工业出版社,2004。二、主要研究(设计)内容、研究(设计)思路及工作方法或工作流程 2.1 研究(设计)目标及内容 完成电子密码锁的VHDL程序与仿真,搭建硬件电路,具有电子密码锁的基本功能。 设计要求如下: 1).采用6位十进制数作为内置密码。 2).上锁功能,按下上锁键,系统上锁。 3).密码输入功能,即每按下一个数字键,就输入一个数值,并在数码管上显示该数值,同时将先前输入的数据依次左移一个数字位置。 4).密码清除功能,清除所有输入的数字。 5).超时自锁功能,在输入密码后一定时间内未按下开锁键,

11、系统自动上锁。 6).报警功能,系统允许错误开门2次,当第3次错误开门将报警。处于报警状态时,上锁键、清除键、变更键、开锁键、数字键09应不起作用,需用另一内部设置键解除锁定键,该按键在实际应用中可放置在保安室或其他使用者不能接触的地方。在本设计中将其置于键盘中。 7).改密功能。先输入正确密码解锁后,输入新的密码按下修改键,此时新密码设置完成。 2.2 研究方案及原理 1).研究方案 其系统整体框图如图1所示 控制器 报警计数器 上锁 开锁信号 确认 报警信号位数计数器 清除密码 更改密码 解除锁定 比 较 器 编 码 器 寄存器 密码输入 密码存储 密码更改 图1整体框图 键盘用于6位的密

12、码输入,由于输入都采用键盘输入,其按下时刻和持续时间长短是随机的,且存在因簧片反弹引起的电平抖动现象。必须在每个开关后面安排一个消抖同步模块,以保证系统能真确捕捉到输入脉冲。消抖同步模块的作用是保证系统能捕捉到输入脉冲,并保证每按一次键只形成一个宽度等于系统时钟周期的脉冲。 编码器的作用是将键盘输入的信号编成BCD码,并且每键入一个数码,应向控制器送一个脉冲表示有数据输入。比较器的作用是将输入的密码和内置密码进行比较,并将结果反馈给控制器。寄存器的作用是预置内部密码,因为内部预置密码采用6位十进制数,且用BCD码表示。位数计数器用来记录密码输入位数用于比较。 报警计数器的作用是,每一次开启错误

13、,控制器向报警计数器提供一个时钟信号,使计数器加1,当计数器到3时,说明错误次数达到3次,进位信号反馈给控制器,控制器发出报警信号WL。解除锁定或正确开门后控制器向报警计数器发出清零信号,使计数器清零。 系统主要包括密码锁输入模块、密码锁控制模块和密码锁显示译码模块三部分组成。三大模块如下: 密码锁输入电路:这个模块包括时序产生电路、键盘扫描电路、键盘弹跳消除电路、键盘译码电路等功能电路组。 密码锁控制电路:这个模块包括按键数据的缓冲存储电路,密码的清除、变更、存储,密码核对(即数值比较电路),解锁电路(即开关门锁电路)等。 密码显示电路:这个模块主要将要显示数据的BCD码转换成数码器的七段显

14、示驱动编码,在数码管上依次显示出来。以上是三大模块的基本设计思路,其次,采用4*4矩阵式键盘。这种机械式键盘具有成本低,可靠性高,构成电路简单,应用广泛等特点,将其应用于电子密码锁中是比较不错的选择。但是须克服机械式键盘存在的一些弹跳消除问题。数字密码的显示采用LED数码管来实现,相对而言其电路简单,成本低,且具有一定的可靠性。结果显示部分,通过一个红色的发光二极管和一个绿色的发光二极管来表示,密码正确绿灯点亮,密码错误,红灯点亮,绿灯熄灭。密码连续三次错误,即报警,将报警信号接至一个蜂鸣器,报警信号由开锁信号来控制,在报警状态,上锁键、复位键、确认键、数字键09应不起作用,需用另一内部设置解

15、除锁定键解除锁定。2).密码锁系统流程图 开始 输入6位密码按开锁键比较器 不一致 一致 红灯亮不开锁绿灯亮开锁连续三次错误蜂鸣器报警输入6位新密码按密码修改键按下解除锁定键解除报警 按上锁键上锁重新输入密码 结束 图2系统流程图 2.3 工作流程 程序部分: 先编好各个模块程序,再利用Quartus II软件进行程序仿真,再对键盘扫描电路、消抖电路、键盘译码电路、控制电路进行时序仿真,所有仿真无误后即可搭建硬件电路。 硬件部分: 熟悉元器件,先弄清各个器件的引脚连接,再根据电路原理图焊接元器件,器件焊接完成检查无误后下载程序,然后测试功能,若功能有误则检查程序和硬件,修改其错误之处,若功能满

16、足设计要求则调试完成。 三、毕业设计(论文)工作进度安排 1. 第1-4周:查阅资料,了解EDA技术及发展,熟悉EDA软件应用。 2. 第5-6周:熟悉可编程器件FPGA的原理及应用,掌握使用VHDL的电路设计方法。 3. 第7-8周:对各功能模块进行设计并仿真。 4. 第9-10周:完成VHDL程序编译和仿真。 5. 第11-12周:将生成的配置文件下载到芯片FPGA中进行功能验证并调试。 6. 第13周:编写论文。 7. 第14周:指导教师审阅,提出修改意见。 8. 第15周:论文装订。 9. 第16周:答辩。 7指导教师评审意见难度份量综合训练程度是否隶属科研项目是否具有创新性指导教师签字:_ 年 月 日学院毕业设计(论文)指导委员会审核意见教学院长:_ (公 章)年 月 日

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号