简易数字频率计设计报告.doc

上传人:仙人指路1688 文档编号:4150404 上传时间:2023-04-07 格式:DOC 页数:36 大小:2.72MB
返回 下载 相关 举报
简易数字频率计设计报告.doc_第1页
第1页 / 共36页
简易数字频率计设计报告.doc_第2页
第2页 / 共36页
简易数字频率计设计报告.doc_第3页
第3页 / 共36页
简易数字频率计设计报告.doc_第4页
第4页 / 共36页
简易数字频率计设计报告.doc_第5页
第5页 / 共36页
点击查看更多>>
资源描述

《简易数字频率计设计报告.doc》由会员分享,可在线阅读,更多相关《简易数字频率计设计报告.doc(36页珍藏版)》请在三一办公上搜索。

1、简易数字频率计设计报告设计内容:1、测量信号:方波 、正弦波、三角波;2、测量频率范围: 1Hz9999Hz;3、显示方式:4位十进制数显示;4、时基电路由 由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得);5、当被测信号的频率超出测量范围时,报警。设计报告书写格式:1、选题介绍和设计系统实现的功能;2、系统设计结构框图及原理;3、采用芯片简介;4、设计的完整电路以及仿真结果;5、Protel绘制的电路原理图;6、制作的PCB;7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。电子课程设计过程:系统设计在Multisim2001

2、下仿真应用Protel 99SE绘制电路原理图制作PCB撰写设计报告简易数字频率计课程设计报告第一章 技术指标1.1整体功能要求1.2系统结构要求1.3电气指标1.4扩展指标1.5设计条件第二章 整体方案设计2.1 算法设计2.2 整体方框图及原理第三章 单元电路设计3.1 时基电路设计3.2闸门电路设计3.3控制电路设计3.4 小数点显示电路设计3.5整体电路图3.6整机原件清单第四章 测试与调整4.1 时基电路的调测4.2 显示电路的调测4-3 计数电路的调测4.4 控制电路的调测4.5 整体指标测试第五章 设计小结5.1 设计任务完成情况5.2 问题及改进5.3心得体会附录参考文献第一章

3、 技术指标1. 整体功能要求频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。2. 系统结构要求数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目-频率、周期或脉宽,若测量频率则进一步选择档位。测量电路被测信号 显示电路档位转换数字频率计整体方案结构方框图3. 电气指标3.1被测信号波形:正弦波、三角波和矩形波。3.2 测量频率范围:分三档:1Hz999Hz0.01kHz9.99kHz0.1kHz99.9kHz3.3 测量周期范围:1ms1s。3.4 测量脉宽范围:1ms1s

4、。3.5 测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误差)。3.6当被测信号的频率超出测量范围时,报警.4.扩展指标要求测量频率值时,1Hz99.9kHz的精度均为+1。5.设计条件5.1 电源条件:+5V。5.2 可供选择的元器件范围如下表型号名称及功能数量NE555定时器1片741518选1数据选择器2片74153双4选1数据选择器2片7404六反向器1片4518十进制同步加/减计数器2片74132四2输入与非门(有施密特触发器)1片74160十进制同步计数器3片C392数码管3片4017十进制计数器/脉冲分配器1片45114线七段所存译码器/驱动器3片TL0

5、841片10K电位器1片电阻电容拨盘开关1个门电路、阻容件、发光二极管和转换开关等原件自定。第二章 整体方案设计2.1 算法设计频率是周期信号每秒钟内所含的周期数值。可根据这一定义采用如图2-1所示的算法。图2-2是根据算法构建的方框图。计数电路闸门输入电路闸门产生显示电路被测信号 图2-2 频率测量算法对应的方框图在测试电路中设置一个闸门产生电路,用于产生脉冲宽度为1s的闸门信号。改闸门信号控制闸门电路的导通与开断。让被测信号送入闸门电路,当1s闸门脉冲到来时闸门导通,被测信号通过闸门并到达后面的计数电路(计数电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录

6、的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关,因此,为保证在1s内被测信号的周期量误差在10 量级,则要求闸门信号的精度为10 量级。例如,当被测信号为1kHz时,在1s的闸门脉冲期间计数器将计数1000次,由于闸门脉冲精度为10 ,闸门信号的误差不大于0.1s,固由此造成的计数误差不会超过1,符合5*10 的误差要求。进一步分析可知,当被测信号频率增高时,在闸门脉冲精度不变的情况下,计数器误差的绝对值会增大,但是相对误差仍在5*10 范围内。但是这一算法在被测信号频率很低时便呈现出严重的缺点,例如,当被测信号为0.5Hz时其周期是2s,这时

7、闸门脉冲仍未1s显然是不行的,故应加宽闸门脉冲宽度。假设闸门脉冲宽度加至10s,则闸门导通期间可以计数5次,由于数值5是10s的计数结果,故在显示之间必须将计数值除以10.2.2 整体方框图及原理输入电路:由于输入的信号可以是正弦波,三角波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号的强弱的情况。所以在通过整形之前通过放大衰减处理。当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益

8、,时被测信号得以放大。频率测量:测量频率的原理框图如图2-3.测量频率共有3个档位。被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。时基信号有555定时器构成一个较稳定的多谐振荡器,经整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。周期测量:测量周期的原理框图2-4.测量周期的方法与测量频率的方法相反,即将被测信号经整形、二分频电路后转变为方波信号。方波信号中的脉冲宽度恰好为被测信号的1个周期。将方波的脉宽作为闸门导通的时间,在闸门导通的时间里,计数器记

9、录标准时基信号通过闸门的重复周期个数。计数器累计的结果可以换算出被测信号的周期。用时间Tx来表示:Tx=NTs式中:Tx为被测信号的周期;N为计数器脉冲计数值;Ts为时基信号周期。时基电路:时基信号由555定时器、RC组容件构成多谐振荡器,其两个暂态时间分别为T1=0.7(Ra+Rb)C T2=0.7RbC重复周期为 T=T1+T2 。由于被测信号范围为1Hz1MHz,如果只采用一种闸门脉冲信号,则只能是10s脉冲宽度的闸门信号,若被测信号为较高频率,计数电路的位数要很多,而且测量时间过长会给用户带来不便,所以可将频率范围设为几档: 1Hz999Hz档采用1s闸门脉宽;0.01kHz9.99k

10、Hz档采用0.1s闸门脉宽;0.1kHz99.9kHz档采用0.01s闸门脉宽。多谐振荡器经二级10分频电路后,可提取因档位变化所需的闸门时间1ms、0.1ms、0.01ms。闸门时间要求非常准确,它直接影响到测量精度,在要求高精度、高稳定度的场合,通常用晶体振荡器作为标准时基信号。在实验中我们采用的就是前一种方案。在电路中引进电位器来调节振荡器产生的频率。使得能够产生1kHz的信号。这对后面的测量精度起到决定性的作用。计数显示电路:在闸门电路导通的情况下,开始计数被测信号中有多少个上升沿。在计数的时候数码管不显示数字。当计数完成后,此时要使数码管显示计数完成后的数字。控制电路:控制电路里面要

11、产生计数清零信号和锁存控制信号。控制电路工作波形的示意图如图2-5.第三章 单元电路设计3.1 时基电路设计图3-1 时基电路与分频电路它由两部分组成: 如图3-1所示,第一部分为555定时器组成的振荡器(即脉冲产生电路),要求其产生1000Hz的脉冲.振荡器的频率计算公式为:f=1.43/(R1+2*R2)*C),因此,我们可以计算出各个参数通过计算确定了R1取430欧姆,R3取500欧姆,电容取1uF.这样我们得到了比较稳定的脉冲。在R1和R3之间接了一个10K的电位器便于在后面调节使得555能够产生非常接近1KHz的频率。第二部分为分频电路,主要由4518组成(4518的管脚图,功能表及

12、波形图详见附录),因为振荡器产生的是1000Hz的脉冲,也就是其周期是0.001s,而时基信号要求为0.01s、0.1s和1s。4518为双BCD加计数器,由两个相同的同步4级计数器构成,计数器级为D型触发器,具有内部可交换CP和EN线,用于在时钟上升沿或下降沿加计数,在单个运算中,EN输入保持高电平,且在CP上升沿进位,CR线为高电平时清零。计数器在脉动模式可级联,通过将Q连接至下一计数器的EN输入端可实现级联,同时后者的CP输入保持低电平。 如图3-2所示,555产生的1kHz的信号经过三次分频后得到3个频率分别为100Hz、10Hz和1Hz的方波。图3-2 1kHz的方波分频后波形图3.

13、2闸门电路设计 如图3-3所示,通过74151数据选择器来选择所要的10分频、100分频和1000分频。74151的CBA接拨盘开关来对选频进行控制。当CBA输入001时74151输出的方波的频率是1Hz;当CBA输入010时74151输出的方波的频率是10Hz;当CBA输入011时74151输出的方波的频率是100Hz;这里我们以输出100Hz的信号为例。分析其通过4017后出现的波形图(4017的管脚图、功能表和波形图详见附录)。4017是5位计数器,具有10个译码输出端,CP,CR,INH输入端,时钟输入端的施密特触发器具有脉冲整形功能,对输入时钟脉冲上升和下降时间无限制,INH为低电平

14、时,计数器清零。100Hz的方波作为4017的CP端,如图3-3,信号通过4017后,从Q1输出的信号高电平的脉宽刚好为100Hz信号的一个周期,相当于将原信号二分频。也就是Q1的输出信号高电平持续的时间为10ms,那么这个信号可以用来导通闸门和关闭闸门。图3-3 闸门电路图3-4 3.3控制电路设计通过分析我们知道控制电路这部分是本实验的最为关键和难搞的模块。其中控制模块里面又有几个小的模块,通过控制选择所要测量的东西。比如频率,周期,脉宽。同时控制电路还要产生74160的清零信号,4511的锁存信号。控制电路。计数电路和译码显示电路详细的电路如图3-5所示。当74153的CBA接001、0

15、10、011的时候电路实现的是测量被测信号频率的功能。当74153的CBA接100的时候实现的是测量被测信号周期的功能。当74153的CBA接101的时候实现的是测量被测信号脉宽的功能。图3-6是测试被测信号频率时的计数器CP信号波形、PT端输入波形、CLR段清零信号波形、4511锁存端波形图。其中第一个波形是被测信号的波形图、第二个是PT端输入信号的波形图、第三个是计数器的清零信号。第四个是锁存信号。PT是高电平的时候计数器开始工作。CLR为低电平的时候,计数器清零。根据图得知在计数之前对计数器进行了清零。根据4511(4511的管脚图和功能表详见附录)的功能表可以知道,当锁存信号为高电平的

16、时候,4511不送数。如果不让4511锁存的话,那么计数器输出的信号一直往数码管里送。由于在计数,那么数码管上面一直显示数字,由于频率大,那么会发现数字一直在闪动。那么通过锁存信号可以实现计数的时候让数码管不显示,计完数后,让数码管显示计数器计到的数字的功能。根据图可以看到,当PT到达下降沿的时候,此时4511的LE端的输入信号也刚好到达下降沿。图3-6 计数器CP信号波形、PT端输入波形、CLR段清零信号波形、4511锁存端波形图图3-6,是测量被测信号频率是1.1KHz的频率的图。由于multsisim软件篇幅的关系。时基电路产生的信号直接用信号发生器来代替。图中电路1K的信号经过分频后选

17、择的是100Hz的信号为基准信号。那么这个电路实现测量频率的范围是0.01KHz9.99KHz的信号的频率。同时控制电路也实现了对被测信号的周期和脉宽的测量。当CBA的取一定的值,电路实现一定的测量功能。3.4 小数点显示电路设计在测量频率的时候,由于分3个档位,那么在不同的档的时候,小数点也要跟着显示。比如CBA接011测量频率的时候,它所测信号频率的范围是0.1KHz99.9KHz,那么在显示的时候三个数码管的第二个数码管的小数点要显示。CBA接010测量频率的时候,它所测信号频率的范围是0.01KHz9.99KHz,那么显示的时候,最高位的数码管的小数点也要显示。对比一下两个输入的高低电

18、平可以发现CA位不一样,显示的小数点就不一样。我们可以想到可以通过74153数据选择器来实现小数点显示的问题。具体的实现方法见图3-7所示。3.5整体电路图图3-8 整体电路图3.6整机原件清单元件数量元件数量555定时器一片7404一片8.2K一个4518两片5.1K一个拨盘开关一个10K电位器一个4017一片74151一片74160三片74153三片4511三片74132一片数码管三个LED灯一个保护电阻四个0.01F电容两个5V直流电源一个导线若干第四章 测试与调整4.1 时基电路的调测首先调测时基信号,通过555定时器、RC阻容件构成多谐振荡器的两个暂态时间公式,选择R1=8.2K ,

19、R2=5.1K,C=0.01F。把555产生的信号接到示波器中,调节电位器使得输出的信号的频率为1KHz。同时输出信号的频率也要稳定。测完后,下面测试分频后的频率,分别接一级分频、二级分频、三级分频的输出端,测试其信号。测出来的信号频率和理论值很接近。由于是将示波器的测量端分别测量每个原件的输出端。下面我在实验中把74151和拨盘开关接好,通过拨盘开关来控制74151的输出信号,把示波器的测量端接74151的输出端。在CBA取三个不同的高低电平时,得到三个不同频率的信号。具体的波形图见图3-2所示。这里就不再重复了。这样,时基电路这部分就测试完毕,没有问题了。4.2 显示电路的调测由于在设计过

20、程中,控制电路这部分比较难,要花时间在上面设计电路。为了节约时间,我在课程设计的过程中就先连接后面的显示电路和计数电路。首先是对数码管(数码管的管脚图和功能表详见附录)的显示进行了调测。图4-1 显示电路调测连接图如图4-1所示接好显示电路(这里就只给出一个数码管说明一下)。然后将4511的5端接地。然后给4511的6217端分别接高低电平,数码管就会显示对应的数字。比如6217分别接1000,那么数码管就对应显示数字8.同样,还有两个数码管也按上图接好。接好后的测试方法同上。这样,显示电路也就搞好了。4-3 计数电路的调测图4-2 计数电路调测连接图计数电路按照图4-2所示连接好,将7416

21、0的PT端,CLR端,LD端都接高电平,3个74160级联,构成异步十进制计数器。同时4511的5端要接0,在调测的过程中,我忘记将其置零,导致在后面数码管一直不显示数字。接好后,给最低位的74160一个CP信号。让函数信号发生器产生一个频率适当的方波。这样,计数器就开始计数了。数码管从000999显示。计数电路就这样搞好了。在调测的过程中,74160的CLR端,LD端,4511的5端都是用临时的线连接。因为在后面这些端都是连接控制电路产生清零、锁存信号的输出端。4.4 控制电路的调测控制电路的连接图如图4-3所示,其中两个74153的BA端分别接了01,4017的输入的CP的频率是100Hz

22、,此时的功能是测量范围是0.1KHz99.9KHz。由调试波形可以知道电路设计是正确的。这部分是测量频率的功能。同时控制电路还要实现测量周期和脉宽的功能,在前面已经说明的如何测量周期的算法,它的方法刚好和测量频率的相反,测频率的时候时基信号作为闸门信号,而测量周期是将被测信号作为闸门信号。图4-6 测量周期连接图(部分)测量周期的时候只需将74153的CBA置100就可以实现了。当74153的CBA为100的时候,74153的1Y输出的信号为被测信号,在图中接的是函数信号发生器,它产生的是频率为20Hz的方波。这个信号作为4017的CP信号。根据图4-6可以知道74151的输出的信号是被测信号

23、fx,经过4017后的输出信号信号Q1、Q2的脉宽刚好为fx的周期,这个原理在前面测量频率部分已经介绍过,这里就不再重复了。其中Q1信号非一下,就可以作为74160的CLR端的清零信号,Q2的信号接74160的PT端作为的闸门信号,在PT一直为高电平的时候计数器计数。PT的高电平持续的时间刚好为fx的周期。在闸门导通的时间,即PT一直为高电平的时候,计数器记录标准时基信号通过闸门的重复周期个数。计数器累计的结果可以换算出被测信号的周期,用时间Tx来表示:Tx=NTs式中:Tx为被测信号的周期;N为计数器脉冲计数值;Ts为时钟信号周期。根据Ts=1ms,N=50.可以知道被测信号的周期为50ms

24、,在电路中我们给出被测信号的频率为20Hz。那么测量的结果和理论值是一样的。以上是对被测信号周期测量的部分。调测过程中电路的输入输出波形图见图4-7,其中的控制计数器计数的原理和测量频率所用的方法一样。最后是测量脉宽部分的调测。测量脉冲宽度的原理与测量周期的原理十分相似。所不同的是,它直接用整形后的脉冲信号的宽度tw作为闸门的导通时间。在闸门导通的时间内,测量时基信号的重复周期,并由式tw=NTs得出脉冲宽度值。如图4-8所示,与图4-7对比一下,会发现PT信号,CLR端信号,锁存信号的脉宽为4-7图中对应的波形脉宽的一半。那么最终数码管显示的数字应该是25.实际的测量值也与理论值非常接近。那

25、么到此,整个控制电路部分实现的控制功能都已经实现了。到这里,会发现控制电路这个模块在这个课程设计中占的分量。也是整个设计过程的精华所在。把控制电路这部分搞定,那么本次的课程设计也就基本完成了。4.5 整体指标测试被测信号频率周期脉宽的测量档位 测量范围 被测信号频率 测量值001 1Hz999Hz 207 Hz 210Hz 011 0.1kHz99.9kHz 27.1KHz 27.2KHz 010 0.01KHz9.99KHz 3.25KHz 3.26KHz100 测量周期 20.1Hz 49ms101 测量脉宽 20.1Hz 24ms待添加的隐藏文字内容1第五章 设计小结5.1 设计任务完成

26、情况通过为期两周的课程设计,完成了本次设计的技术指标,刚开始设计的时候,由于控制电路这部分比较难搞定,所以在连接电路的时候,就会停下来设计控制电路,为了提高效率,在实际的操作中,先连好时基电路,分频电路测试通过后,再把显示电路和计数电路连好,调测符合要求。最后搞定控制电路的连接。最后完成的一块电路板,它所实现的功能就是可以测被测信号的频率,周期和脉宽。在调测的过程中发现测量频率时,档位在1Hz999Hz,最终得到的结果的误差稍微大了点,其他的测量结果非常接近测量值。5.2 问题及改进在设计的555构成多谐振荡器输出的方波信号,由于电路里面使用的电容元件,在实验的时候,随着实验室里面温度的变化,

27、输出信号的频率也会发生变化,这是造成误差的一个原因,为了在验收的时候提高测量的准确性,所以在测量前要调节电位器,把产生的方波信号接示波器,测量其输出频率,调节电位器,使输出的信号非常接近1KHz,这样的话在后面的测量中会减小误差。在调测计数显示电路的时候,在连接4511元件的时候忘记了将4511的5端接地,导致数码管无法计数,在实验的过程中,连接好电路以后,发现没反应,然后通过示波器一个一个检测元件的输入和输出信号,看看是不是和理论的一样。找出不符合理论的那部分,对照电路图进行检查修改,最后发现有的芯片的使能端没有接地,导致元件的功能没有实现。所以在连接电路的时候要细心,这也是要改进的地方。不

28、然的话就会出现一个又一个的连接上面的问题。在最终测量频率很低的时候,那么本次电路测量频率的算法就有了一定的缺点。例如,当被测信号为0.5Hz时,其周期为2s,这时闸门的脉冲仍为1s显然是不行的。故应该加宽闸门脉冲的宽度假设闸门脉冲宽度加至10S,则闸门导通期间可计数5次,由于计数值5是10s的计数结果,故在显示之间必须将计数值除以10.加宽闸门信号也会带来一些问题:计数结果要进行除以10的运算,每次测量的时间最少要10s,时间过长不符合人们的测量习惯,由于闸门期间计数值过少,测量的精度也会下降。为了克服测量低频信号时的不足,可以使用另一种算法。将被测信号送入被测信号闸门产生电路,该电路输出一个

29、脉冲信号,脉宽与被测信号的周期相等。再用闸门产生电路输出的闸门信号控制闸门电路的导通与开断。设置一个频率精度较高的周期信号(例如10KHz)作为时基信号,当闸门导通时,时基信号通过闸门到达计数电路计数。由于闸门导通时间与被测信号周期相同,则可根据计数器计数值和时基信号的周期算出被测信号的周期T。T=时基信号周期*计数器计数值。再根据频率和周期互为倒数的关系,算出被测信号的频率f。这里面就提供一个思想。没有通过实践去验证。不可避免,这个算法也有它自己的缺陷。5.3心得体会本次实习让我们体味到设计电路、连接电路、调测电路过程中的乐苦与甜。设计是我们将来必需的技能,这次实习恰恰给我们提供了一个应用自

30、己所学知识的机会,从到图书馆查找资料到对电路的设计对电路的调试再到最后电路的成型,都对我所学的知识进行了检验。在实习的过程中发现了以前学的数字电路的知识掌握的不牢。同时在设计的过程中,遇到了一些以前没有见到过的元件,但是通过查找资料来学习这些元件的功能和使用。制作过程是一个考验人耐心的过程,不能有丝毫的急躁,马虎,对电路的调试要一步一步来,不能急躁,因为是在电脑上调试,比较慢,又要求我们有一个比较正确的调试方法,像把频率调准等等。这又要我们要灵活处理,在不影响试验的前提下可以加快进度。合理的分配时间。在设计控制电路的时候,我们可以连接译码显示和计数电路,这样就加快了完成的进度。最重要的是要熟练

31、地掌握课本上的知识,这样才能对试验中出现的问题进行分析解决。附录CC 4518十进制同步加/减计数器简要说明 CC4518 为双 BCD 加计数器,该器件由两个相同的同步 4 级计数器组成。计数器级为 D 型触发器。具有内部可交换 CP 和 EN 线,用于在时钟上升沿或下降沿加计数。在单个单元运算中,EN 输入保持高电平,且在 CP 上升沿进位。CR 线为高电平时,计数器清零。计数器在脉动模式可级联,通过将 Q3 连接至下一计数器的 EN 输入端可实现级联。同时后者的 CP输入保持低电平。4518 管脚图4518功能表及波形图CC4017-十进制计数器/脉冲分配器简要说明:CC4017 是 5

32、 位 Johnson 计数器,具有 10 个译码输出,CP,CR,INH 输入端。时钟输入端的斯密特触发器具有脉冲整形功能,对输入时钟脉冲上升和下降时间无限制,INH为低电平时,计数器在时钟上升沿计数;反之计数功能无效。CR为高电平时,计数器清零。Johnson 计数器提供了快速操作,2 输入译码选通和无毛刺译码输出,防锁选通,保证了正确的计数顺序。译码输出一般为低电平,只有在对应时钟周期内保持高电平。4017管脚图4017功能表及波形图CC4511 4线七段所存译码器/驱动器简要说明 CC4511是 BCD7 段所存译码驱动器,在同一单片结构上由 COS/MOS 逻辑器件和 npn 双极型晶

33、体管构成。这些器件的组合,使 CC4511 具有低静态耗散和高抗干扰及源电流高达 25mA 的性能。由此可直接驱动 LED 及其它器件。 LT 、BI 、LE 输入端分别检测显示、亮度调节、存储或选通一BCD码等功能。当使用外部多路转换电路时,可多路转换和显示几种不同的信号。4511管脚图4511功能表数码管的管脚图数码码功能表对应管脚显示 7C 4E 6小数点 5 D 1 G 10 A 2 F 9 B 0 0 0 1 0 1 0 0 0 1 0 1 1 1 1 1 1 0 2 1 0 1 0 0 0 1 0 3 0 1 1 0 0 0 1 0 4 0 1 1 1 0 1 0 0 5 0 1

34、1 0 0 0 0 1 6 0 0 1 0 0 0 0 1 7 0 1 1 1 1 0 1 0 8 0 0 1 0 0 0 0 0 9 0 1 1 0 0 0 0 0 74160十进制同步计数器(异步清除)160 的清除端是异步的。当清除端/MR 为低电平时,不管时钟端CP 状态如何,即可完成清除功能。160 的预置是同步的。当置入控制器/PE 为低电平时,在 CP 上升沿作用下,输出端 Q0Q3 与数据输入端 P0P3 一致。对于54/74160,当 CP 由低至高跳变或跳变前,如果计数控制端 CEP、CET为高电平,则/PE 应避免由低至高电平的跳变,而 54/74LS160 无此种限制。

35、160 的计数是同步的,靠 CP 同时加在四个触发器上而实现的。当 CEP、CET 均为高电平时,在 CP 上升沿作用下 Q0Q3 同时变化,从而消除了异步计数器中出现的计数尖峰。对于 54/74160,只有当CP 为高电平时,CEP、CET 才允许由高至低电平的跳变,而 54/74LS160的 CEP、CET 跳变与 CP 无关。160 有超前进位功能。当计数溢出时,进位输出端(TC)输出一个高电平脉冲,其宽度为 Q0 的高电平部分。在不外加门电路的情况下,可级联成 N 位同步计数器。对于 54/74LS160,在 CP 出现前,即使 CEP、CET、/MR 发生变化,电路的功能也不受影响。

36、74160 管脚图 74160 功能表 说明:H高电平 L低电平 X任意7404 六反向器逻辑图74132 四2输入与非门(有施密特触发器)管脚图:74151 8选1数据选择器管脚图:74153 双4选1数据选择器管脚图:参考文献1.张顺兴 . 数字电路与系统设计 . 第1版 . 南京:东南大学出版社,20042.邹其洪 . 电工电子实验与计算机仿真 . 第1版 . 北京:电子工业出版社,2003.93.王玉秀 . 电工电子基础实验 . 第1版 . 南京:东南大学出版社,20064.孙肖子 . 模拟电子技术基础 . 第1版 . 西安:西安电子科技大学出版社,2001.15.谢自美 . 电子线路

37、设计实验测试 . 第2版 . 武昌:华中科技大学出版社,2000.76.张豫滇 . 电子电路课程设计 . 第1版 . 南京:河海大学出版社,2005.8Employment tribunals sort out disagreements between employers and employees.You may need to make a claim to an employment tribunal if: you dont agree with the disciplinary action your employer has taken against you your empl

38、oyer dismisses you and you think that you have been dismissed unfairly.For more information about dismissal and unfair dismissal, seeDismissal.You can make a claim to an employment tribunal, even if you haventappealedagainst the disciplinary action your employer has taken against you. However, if yo

39、u win your case, the tribunal may reduce any compensation awarded to you as a result of your failure to appeal.Remember that in most cases you must make an application to an employment tribunal within three months of the date when the event you are complaining about happened. If your application is

40、received after this time limit, the tribunal will not usually accept it.If you are worried about how the time limits apply to you, take advice from one of the organisations listed underFurther help.Employment tribunals are less formal than some other courts, but it is still a legal process and you w

41、ill need to give evidence under an oath or affirmation.Most people find making a claim to an employment tribunal challenging. If you are thinking about making a claim to an employment tribunal, you should get help straight away from one of the organisations listed underFurther help.If you are being

42、represented by a solicitor at the tribunal, they may ask you to sign an agreement where you pay their fee out of your compensation if you win the case. This is known as adamages-based agreement. In England and Wales, your solicitor cant charge you more than 35% of your compensation if you win the ca

43、se.If you are thinking about signing up for a damages-based agreement, you should make sure youre clear about the terms of the agreement. It might be best to get advice from an experienced adviser, for example, at a Citizens Advice Bureau. To find your nearest CAB, including those that give advice b

44、y e-mail, click onnearest CAB.For more information about making a claim to an employment tribunal, seeEmployment tribunals.The (lack of) air up there Watch mCayman Islands-based Webb, the head of Fifas anti-racism taskforce, is in London for the Football Associations 150th anniversary celebrations and will attend Citys Premier League match at Chelsea on Sunday.I am going to be at the match tomorrow and I have asked to meet Yaya Toure, he told BBC Sport.For me its about how he felt and I would like to speak to him first to find out what his experience was.Uefa hasopened

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号