脉冲信号源系统的设计.doc

上传人:laozhun 文档编号:4150415 上传时间:2023-04-07 格式:DOC 页数:49 大小:478.50KB
返回 下载 相关 举报
脉冲信号源系统的设计.doc_第1页
第1页 / 共49页
脉冲信号源系统的设计.doc_第2页
第2页 / 共49页
脉冲信号源系统的设计.doc_第3页
第3页 / 共49页
脉冲信号源系统的设计.doc_第4页
第4页 / 共49页
脉冲信号源系统的设计.doc_第5页
第5页 / 共49页
点击查看更多>>
资源描述

《脉冲信号源系统的设计.doc》由会员分享,可在线阅读,更多相关《脉冲信号源系统的设计.doc(49页珍藏版)》请在三一办公上搜索。

1、密级:公开脉冲信号源系统设计The design of pulse signal source学 院: 专 业 班 级: 学 号:学 生 姓 名: 指 导 教 师: 摘 要本文研究的是脉冲信号源系统的设计,根据国内外信号源的发展状况,本文介绍的是利用单片机、直接数字合成频率(DDS)和数模转换器产生所需脉冲信号的信号源系统,以此为基础设计了硬件实现电路,并针对信号源的功能进行了C语言程序设计,完成了软件设计实现,该脉冲信号源输出的信号幅度、频率、占空比都是可以通过程序控制的。文中阐述了信号源电路设计的总体思路,介绍了直接数字合成频率(DDS)的结构原理、系统的硬件电路以及软件部分。硬件电路包括

2、DDS芯片的介绍及其与单片机的连接实现信号的输出、单片机的基础理论及其控制部分,数模转换器实现幅度和占空比的调节功能、液晶的显示部分以及各种外围电路的设计。 文中着重介绍了如何利用单片机控制数模转换器和DDS芯片产生频率、幅度、占空比可调的脉冲信号源系统,故本设计的核心任务是以单片机为核心,结合数模转换器和DDS芯片等器件,用Altium designer09设计硬件电路,通过键盘控制输出信号的频率、幅度、占空比,并用Keil Uvision4编写程序,以实现程序控制产生所需的脉冲信号。此脉冲信号的幅度可以调节,频率可以调节,占空比也可以调节。从信号源的硬件设计来看,其工作可靠、效果良好,而且

3、切换频率速度快,既满足了脉冲信号的高稳定度、高精度、高分辨率的要求,又有价格低廉、体积小的特点。关键词:单片机;DDS;脉冲信号AbstractThis paper studies the pulse signal source system design, according to the development situation of the domestic and foreign sources, This paper introduce is to use single chip microcomputer, direct digital synthesis frequency (

4、DDS) and digital-to-analog converters produce the pulse signal source system, based on this design the hardware circuit to realize , and in the light of the functions of the source to design language C program , and the pulse signal source output signal amplitude, frequency, occupies empties can be

5、controled through the process. This paper expounds the general idea of the signal source circuit design, introducing the synthesis of direct digital frequency (DDS) structure principle, system hardware circuit and software parts. Hardware circuit includes the introduction of DDS chip and its connect

6、ion with the single chip microcomputer to realize signal output and single-chip microcomputer control part of the basic theory , digital-to-analog converters realize range and occupies emptiescompared adjustment function, the liquid crystal display and various peripheral part of the circuit design.T

7、his paper mainly introduces how to use single chip microcomputer control digital-to-analog converters and DDS chip produce frequency, amplitude, occupies empties adjustable pulse signal source system, so the core task of the design is single chip microcomputer as the core, combining digital-to-analo

8、g converters and DDS chip, with Altium designer09 hardware circuit design, through the keyboard control output signal frequency, amplitude, accounting for than empty, and Keil Uvision4 program, to realize the program control from the pulse signal. The pulse signal amplitude the frequency can be adju

9、sted, occupies emptiescompared also can be adjusted. The hardware design from source to see, the reliable work, and the effect is good, and switching frequency speed, can not only meet the pulse signal of high stability, high precision, high resolution requirements, and low cost, small volume featur

10、es.Key words: single chip microcomputer; DDS ; pulse signal目 录摘 要IAbstractII第1章 绪论11.1 课题背景11.2 课题研究的目的和意义11.3 国内外的研究状况21.3.1 波形发生器的发展状况21.3.2 国内外波形发生器产品比较41.3.3 本课题在国内外的研究现状41.4 课题研究内容4第2章 硬件电路的设计52.1 系统设计原理52.2 DDS原理62.3 系统的硬件电路的设计92.3.1 控制电路的设计92.3.2 时钟电路的设计112.3.3 复位电路的设计122.3.4 键盘电路的设计132.3.5 显

11、示电路的设计132.3.6 频率调节的设计152.3.7 幅度、占空比调节的设计182.3.8 滤波电路设计212.3.9 串口电路的设计222.4 信号源功能的实现232.4.1 频率调节的实现232.4.2 幅度调节的实现242.4.3 占空比调节的实现24第3章 软件设计与调试263.1 程序流程图263.2 软件调试26第4章 PCB电路的设计284.1 电路实现的基本步骤284.2 原理图的绘制284.3 PCB图的绘制28第5章 结论30参 考 文 献31致 谢32附 录1 系统原理图33附 录2 系统PCB图34附 录3 系统软件程序35第1章 绪论1.1 课题背景 在一些电子设

12、备的电路板故障检测仪中,往往需要频率、幅度都能由计算机自动调节的信号源。采用诸如MAX038信号发生器芯片外加电阻及切换开关等器件虽然也能调节频率和幅度,但这种调节是离散的,且电路复杂,使用不方便。而采用直接数字合成芯片DS及外加D/A转换芯片构成的可控信号源,可产生正弦波、调频波、调幅波及方波等,并且其信号的频率和幅度可由微机来精确控制,调节非常方便。性能更强,体积更小,速度更快,功耗更低。而大规模可编程器件CPLD/FPGA在集成度、功能和速度上的优势正好满足通信系统的这些要求。所以今天无论是民用的移动电话、程控交换机、集群电台、广播发射机和调制解调器,还是军用的雷达设备、图形处理仪器、遥

13、控遥测设备、加密通信机中,都已广泛地使用大规模可编程器件。由于数字技术在处理和传输信息方面的各种优点,数字技术和数字集成电路的使用已经成为构成现代电子系统的重要标志1。 电子系统的集成化,不仅可使系统的体积小、重量轻且功耗低,更重要的是可使系统的可靠性大大提高。因此自集成电路问世以来,集成规模便以10倍/6年的速度增长。从20世纪90年代初以来,电子系统日趋数字化、复杂化和大规模集成化。为满足个人电脑、无绳电话和高速数据传输设备的发展需求,电子厂商们越加迫切地追求电子产品的高功能、优品质、低成本、微功耗和微小封装尺寸。为达到此目标,必须采用少量的IC器件来使面积尽可能小。1.2 课题研究的目的

14、和意义 信号发生器作为电子技术领域中最基本的电子仪器,广泛应用于航空航天测控、通信系统、电子对抗、电子测量、科研等各个领域中2。随着电子信息技术的发展,对其性能的要求也越来越高,如要求频率稳定性高、转换速度快,具有调幅、调频、调相等功能,另外还经常需要两路正弦信号不仅具有相同的频率,同时要有确定的相位差。随着数字信号处理和集成电路技术的发展,直接数字频率合成(DDS)的应用也越来越广泛。DDS具有相位和频率分辨率高、稳定度好、频率转换时间短、输出相位连续、可以实现多种数字与模拟调制的优点,而可编程门阵列(FPGA)具有集成度高、通用性好、设计灵活、编程方便、可以实现芯片的动态重构等特点,因此可

15、以快速地完成复杂的数字系统。由于模拟调相方法有生产性差、调试不方便、调制度控制不精确等缺点,因此采用数字方法实现各种模拟调制也越来越普遍。现在许多DDS芯片都直接提供了实现多种数字调制的功能,实现起来比较简单,而要实现模拟线性调制具有一定的难度。因此本设计介绍了一种由单片机控制DDS芯片,产生频率、幅度、占空比都可调的脉冲信号。 单片机是实现各种控制策略和算法的载体3。波形发生器也可运用单片机技术,通过巧妙的软件设计和简易的硬件电路,产生数字式的正弦波相位、频率和幅值可调的信号。信号的频率、相位可通过键盘输入并显示。与现有各类型波形发生器比较而言,产生的数字信号干扰小,输出稳定,可靠性高,特别

16、是操作简单方便,成本低4。 1.3 国内外的研究状况 1.3.1 波形发生器的发展状况 波形发生器亦称函数发生器,作为实验用信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一5。目前,市场上常见的波形发生器多为纯硬件的搭接而成,且波形种类有限,多为锯齿、正弦、方波、三角等波形。信号发生器作为一种常见的应用电子仪器设备,传统的可以完全由硬件电路搭接而成,如采用555振荡电路发生正弦波、三波和方波的电路便是可取的路径之一,不用依靠单片机。但是这种电路存在波形质量差,控制难,可调范围小,电路复杂和体积大等缺点。在科学研究和生产实践中,如工业过程控制,生物医学,地震模拟机械振动等领域常常要

17、用到低频信号源。而由硬件电路构成的低频信号其性能难以令人满意,而且由于低频信号源所需的RC很大;大电阻,大电容在制作上有困难,参数的精度亦难以保证;体积大,漏电,损耗显著更是其致命的弱点。一旦工作需求功能有增加,则电路复杂程度会大大增加。波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。函数波形发生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、震动激励、通讯和仪器仪表领域6。 在 70 年代前,信号

18、发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形,则电路结构非常复杂。同时,主要表现为两个突出问题,一是通过电位器的调节来实现输出频率的调节,因此很难将频率调到某一固定值;二是脉冲的占空比不可调节。在 70 年代后,微处理器的出现,可以利用处理器、A/D/和 D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形。这时期的波形发生器多以

19、软件为主,实质是采用微处理器对 DAC的程序控制,就可以得到各种简单的波形。 90年代末,出现几种真正高性能、高价格的函数发生器、但是HP公司推出了型号为HP770S的信号模拟装置系统,它由 HP8770A任意波形数字化和 HP1776A波形发生软件组成。HP8770A实际上也只能产生8 中波形,而且价格昂贵。不久以后,Analogic公司推出了型号为 Data-2020的多波形合成器,Lecroy 公司生产的型号为9100 的任意波形发生器等。 而近几年来,国际上波形发生器技术发展主要体现在以下几个方面: (1)过去由于频率很低应用的范围比较狭小,输出波形频率的提高,使得波形发生器能应用于越

20、来越广的领域。波形发生器软件的开发正使波形数据的输入变得更加方便和容易。波形发生器通常允许用一系列的点、直线和固定的函数段把波形数据存入存储器。同时可以利用一种强有力的数学方程输入方式,复杂的波形可以由几个比较简单的公式复合成波形方程的数学表达式产生。从而促进了函数波形发生器向任意波形发生器的发展,各种计算机语言的飞速发展也对任意波形发生器软件技术起到了推动作用。目前可以利用可视化编程语言(如Visual Basic ,Visual C 等等)编写任意波形发生器的软面板,这样允许从计算机显示屏上输入任意波形,来实现波形的输入。 (2)与VXI资源结合。目前,波形发生器由独立的台式仪器和适用于个

21、人计算机的插卡以及新近开发的VXI模块。由于VXI总线的逐渐成熟和对测量仪器的高要求,在很多领域需要使用VXI系统测量产生复杂的波形,VXI的系统资源提供了明显的优越性,但由于开发VXI模块的周期长,而且需要专门的VXI机箱的配套使用,使得波形发生器VXI模块仅限于航空、军事及国防等大型领域。在民用方面,VXI模块远远不如台式仪器更为方便7。(3)随着信息技术蓬勃发展,台式仪器在走了一段下坡路之后,又重新繁荣起来。不过 现在新的台式仪器的形态,和几年前的己有很大的不同。这些新一代台式仪器具有多种特性,可以执行多种功能。而且外形尺寸与价格,都比过去的类似产品减少了一半。1.3.2 国内外波形发生

22、器产品比较 早在 1978 年,由美国 Wavetek 公司和日本东亚电波工业公司公布了最高取样频率为 5MHz ,可以形成 256 点(存储长度)波形数据,垂直分辨率为8bit,主要用于振动、医疗、材料等领域的第一代高性能信号源,经过将近30年的发展,伴随着电子元器件、电路、及生产设备的高速化、高集成化,波形发生器的性能有了飞速的提高。变得操作越来越简单而输出波形的能力越来越强。波形操作方法的好坏,是由波形发生器控制软件质量保证的,编辑功能增加的越多,波形形成的操作性越好8。 1.3.3 本课题在国内外的研究现状 二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可过 GHz 的DD

23、S 芯片,同时也推动了函数波形发生器的发展,2003 年,Agilent的产品 33220A能够产生 17 种波形,最高频率可达到 20M,2005 年的产品N6030A 能够产生高达 500MHz 的频率,采样的频率可达 1.25GHz。由上面的产品可以看出,函数波形发生器发展很快。 1.4 课题研究内容课题主要研究脉冲信号源系统的设计。研究输出信号为脉冲波,输出频率可调、输出信号幅度及占空比可调的信号源系统设计。对比各信号产生芯片功能,选择适当的芯片与单片机相结合,完成脉冲信号源设计。第2章 硬件电路的设计根据上章所讲,随着数字信号处理和集成电路技术的发展,直接数字频率合成(DDS)的应用

24、也越来越广泛。DDS具有相位和频率分辨率高、稳定度好、频率转换时间短、输出相位连续、可以实现多种数字与模拟调制的优点,又FPGA实现起来比较困难,。所以本系统采用单片机结合DDS来实现信号的输出。2.1 系统设计原理传统的调幅信号源一般采用可变LC振荡器加模拟乘法器的方式这种方式,目前存在很大缺点,而目前最为流行的信号发生器采用的多为FPGA 或者DSP加高速DA转换器的式,这种模式可以达到很高的频率稳定度以及频率调制度设定精度。但是这种方式需要速度很高的DA转换器以及高速的数字处理器,因此这种方式的成本比较高,算法要求复杂。我们采用的是DDS(数字频率合成器)+低速DA转换器的模式,采用这种

25、方式继承了DDS高精度频率合成的优点,同时对DA转换器的速度要求不高,大大降低了成本。本系统主要由控制系统、信号调节系统、DDS芯片、显示系统、信号输入系统及一些外围电路组成。系统原理图如图2-1所示。显示系统控制系统信号输入系统信号调节系统DDS芯片信号输出图2-1 系统原理框图2.2 DDS原理直接数字频率合成器(Direct Digital Synthesizer)是从相位概念出发直接合成所需波形的一种频率合成技术9。一个直接数字频率合成器由相位累加器、加法器、波形存储ROM、D/A转换器和低通滤波器(LPF)构成。DDS的原理框图如图2-2所示。累加器加法器ROMD/ALPF加法器参考

26、信号fc相位控制字频率控制字WN位SnSt图2-2 DDS原理框图其中K为频率控制字、P为相位控制字、W为波形控制字、fc为参考时钟频率,N为相位累加器的字长,D为ROM数据位及D/A转换器的字长。相位累加器在时钟fc的控制下以步长K作累加,输出的N位二进制码与相位控制字P、波形控制字W相加后作为波形ROM的地址,对波形ROM进行寻址,波形ROM输出D位的幅度码S(n)经D/A转换器变成阶梯波S(t),再经过低通滤波器平滑后就可以得到合成的信号波形。合成的信号波形形状取决于波形ROM中存放的幅度码,因此用DDS可以产生任意波形。这里我们用DDS实现正弦波的合成作为说明介绍。2.2.1 频率预置

27、与调节电路K被称为频率控制字,也叫相位增量。DDS方程为:f0=fCLK/2n,f0为输出频率,fc 为时钟频率。当K=1时,DDS输出最低频率(也即频率分辨率),为fc/2n,而DDS的最大输出频率由Nyquist采样定理决定,即fc/2,也就是说K的最大值为2N-1。因此,只要N足够大,DDS可以得到很细的频率间隔。要改变DDS的输出频率,只要改变控制字K即可。2.2.2 累加器相位累加器由N位加法器与N位寄存器级联构成。每来一个时钟脉冲fc,加法器将频率控制字K与寄存器输出的累加相位数据相加,再把相加后的结果送至寄存器的数据输入端。寄存器将加法器在上一个时钟作用下继续与频率控制字进行相加

28、。这样,相位累加器在时钟的作用下,进行相位累加。当相位累加器累加满时就会产生一次溢出,完成一个周期性的动作。其框图如图2-3所示。寄存器频率控制字相位量化序列fc图2-3 累加器框图2.2.3 控制相位的加法器通过改变相位控制字P可以控制输出信号的相位参数。令相位加法器的字长为N,当相位控制字由0跃变到P(P0)时,波形存储器的输入为相位累加器的输出与相位控制字P之和,因而其输出的幅度编码相位会增加P/2N,从而使最后输出的信号产生相移。2.2.4 控制波形的加法器通过改变波形控制字W可以控制输出信号的波形。由于波形存储器中的不同波形是分块存储的,所以当波形控制字改变时,波形存储器的输入为改变

29、相位后的地址与波形控制字W(波形地址)之和,从而使最后输出的信号产和相移。2.2.5 波形存储器用相位累加器输出的数据作为波形存储器的取样地址,进行波形的相位一幅值转换,即可在给定的时间上确定输出的波形的抽样幅值。N位的寻址ROM相当于把0360的正弦信号离散成具有2N个采样值的序列,若波形ROM有D位数据位,则2N个样值的幅值D位二进制数值固化在ROM中,按照地址的不同可以输出相应相位的正弦信号的幅值。相位幅度变换原理图如图2-4所示。ROM(波形存储器)相位量化序列地址波形幅度量化序列数据图2-4 相位幅度变换原理图2.2.6 数模转换器D/A转换器的作用是把合成的正弦波数字量转换成模拟量

30、。正弦幅度量化序列S(n)经D/A转换后变成了包络为正弦波的阶梯波S(t)。需要注意的是,频率合成器对D/A转换器的分辨率有一定的要求,D/A转换器的分辨率越高,合成的正弦波S(t)台阶数就越多,输出的波形的精度也就越高。2.2.7 低通滤波器 对D/A输出的阶梯波S(t)进行频谱分析,可知S(t)中除主频fo外,还存在分布在fc,2fc的两边fo处的非谐波分量,幅值包络为辛格函数。因此,为了取出主频f0,必须在D/A转换器的输出端接入截止频率为fc/2的低通滤波器。2.3 系统的硬件电路的设计2.3.1 控制电路的设计 信号的产生,信号频率、幅度、占空比的调节等功能的实现都是通过单片机的控制

31、,单片机易于实现,所以本课题的控制芯片采用的单片机。主控电路中,本系统采用STC89C51单片机,足够实现本系统的控制部分10。STC系列单片机是美国STC公司最新推出的一种新型51内核的单片机。片内含有Flash程序存储器、SRAM、UART、SPI、AD、PWM等模块。该器件的基本功能与普通的51单片机完全兼容。STC89C51RC对应Flash空间为4KB,内部存储器512B,通用异步通信口(UART)1个,有ISP(在系统可编程)/IAP(在应用可编程),无需专用编程器仿真器,通用IO口32个,工作电压为3.85.511。单片机的引脚如图2-5所示。图2-5 89C51单片机的引脚(1

32、)VCC:电源电压(2)GND:地(3) P0口:P0口是一组8位漏极开路型双向I/O口,也即地址/数据总线复用口。作为输出口用时,每位能吸收电流的方式驱动8个TTL逻辑门电路,对端口P0写“1”时可作为高阻抗输入端用。在访问外部数据存储器或程序存储器时,这组口线分时转换地址(低8位)和数据总线复位,在访问期间激活内部上拉电阻。(4) P1口:P1是一个带内部上拉电阻的8位双向I/O口,P1的输出缓冲级可驱动(吸收或输出电流)4个TTE逻辑门电路。对端口写“1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口。作输入口使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流(I

33、LL)。与AT89C51不同之处是,P1.0和P1.1还可分别作为定时/计数器2的外部计数输入(P 1.0/T2)和输入(P 1.1/T2EX ),参见表2-1。Flash编程和程序校验期间,P1接收低8位。表2-1 P1.0和P1.1的第二功能引脚 号 功能特性P1.0T2(定时/计数器2外部计数脉冲输入),时钟输出P1.1T2EX(定时/计数2捕获/重装载触发和方向控制)(5)P2口:P2是一个带有内部上拉电阻的8位双向I/O口,P2的输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。对端口P2写“1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口,作输入口使用时,因为内部存在

34、上拉电阻,某个引脚被外部信号拉低时会输出一个电流(ILL)。在访问外部程序存储器或16位地址的外部数据存储器(例如执行MOVX DPTR指令)时,P2口送出高8位地址数据。在访问8位地址的外部数据存储器(如执行MOVX RI指令)时,P2口输出P2锁存器的内容。(6)P3口:P3口是一组带有内部上拉电阻的8位双向I/O口。P3口输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。对P3口写入“1”时,它们被内部上拉电阻拉高并可作为输入端口。此时,被外部拉低的P3口将用上拉电阻输出电流(ILL)。(7) RST:复位输入。当振荡器工作时,RST引脚出现两个机器周期以上高电平将使单片机复位。(

35、8) EA/VPP:外部访问允许。欲使CPU仅访问外部程序存储器(地址为0000H-FFFFH ) 。EA端必须保持低电平(接地)。需注意的是:如果加密位LB1被编程,复位时内部会锁存EA端状态。如EA端为高电平(接VCC端),CPU则执行内部程序存储器中的指令。Flash存储器编程时,该引脚加上+12V的编程允许电源VPP,当然这必须是该器件是使用12V编程电压VCC 。(9)XTAL1:振荡器反相放大器的及内部时钟发生器的输入端。(10)XTAL2:振荡器反相放大器的输出端。(11)数据存储器:89C51有256个字节的内部RAM,80H-FFH高128个字节与特殊功能寄存器(SFR)地址

36、是重叠的,也就是高128。字节的RAM和特殊功能寄存器的地址是相同的,但在物理上它们是分开的。当一条指令访问7FH以上的内部地址单元时,指令中使用的寻址方式是不同的,也即寻址方式决定是访问高128字节。RAM还是访问特殊功能寄存器。如果指令是直接寻址方式则为访问特殊功能寄存器。(12)中断:89C51共有6个中断向量:两个外中断(INT0和INT1),3个定时器中断(定时器0, 1, 2)和串行口中断12。本课题中的控制电路中,采用的是单片机,通过单片机与其他模块的连接来实现系统的功能。单片机与信号产生模块,信号幅度、占空比实现模块的连接及单片机功能、管脚的利用见下文。2.3.2 时钟电路的设

37、计XTAL1是片内振荡器的反相放大器输入端,XTAL2则是输出端,使用外部振荡器时,外部振荡信号应直接加到XTAL1,而XTAL2悬空。内部方式时,时钟发生器对振荡脉冲二分频,如晶振为12MHz,时钟频率就为6MHz。晶振的频率可以在1MHz-24MHz内选择。电容取30PF左右。89C51中有一个用于构成内部振荡器的高增益反相放大器,引脚XTAL1和XTAL2分别是该放大器的输入端和输出端。这个放大器与作为反馈元件的片外石英晶体或者陶瓷谐振器一起构成自激振荡器。片外石英晶体或者陶瓷谐振器及电容C1、C2接在放大器的反馈回路中构成并联振荡电路。对外接电容C1、C2虽然没有十分严格的要求,但电容

38、容量的大小会轻微影响振荡频率的高低、振荡器工作的稳定性、起振的难易程序及温度稳定性,这里采用电容30pF,晶振采用11.0592MHz13。时钟电路如图2-6所示。图2-6 时钟电路2.3.3 复位电路的设计根据复位的要求,复位操作通常有两种形式:上电复位、开关复位。开关复位要求在电源接通的的条件下,在单片机运行期间,如果发生死机,用按钮开关操作使单片机复位14。常用的上电且开关复位电路如图2-7所示。通常选择C=10-30PF,R=10K。图2-7 复位电路图2.3.4 键盘电路的设计本系统采用独立按键进行系统控制,这种方案是一对一的,一个I/O口对应一个按键,这里P0.2-P0.7都接了一

39、个10K上拉电阻,在没有开关按下时是高电平一旦有键按下,就会被拉成低电平。这种方案的优点是电路简单可靠,程序设计也简单,缺点是占用I/O口资源多,本系统的单片机I/O口资源多,故选用独立按键模式15。其中,S1、S2用于液晶的移位控制,S3、S4用于频率、占空比、幅度的增大和减小控制,S5、S6用于占空比和幅度的切换控制,其控制电路的电路图如图2-8所示:图2-8 键盘控制电路2.3.5 显示电路的设计本系统的显示部分采用LCD1602,进行信号的频率、幅度、占空比的显示。现在的字符型液晶模块已经是单片机应用设计中最常用的信息显示器件了。1602型LCD显示模块具有体积小,功耗低,显示内容丰富

40、等特点, 1602型LCD可以显示2行16个字符,有8位数据总线D0D7和RS,R/W,EN三个控制端口,工作电压为5V,并且具有字符对比度调节和背光功能。1602型LCD的接口信号说明,如表2-2所示:表2-2 1602型LCD的接口信号说明编号符号引脚说明编号符号引脚说明1VSS电源地9D2Data I/O2VDD电源正极10D3Data I/O3VL液晶显示偏压信号11D4Data I/O4RS数据/命令选择端(H/L)12D5Data I/O5R/W读写选择端(H/L)13D6Data I/O6E使能信号14D7Data I/O7D0Data I/O15BLA背光源正极8D1Data

41、I/O16BLK背光源负(1)其基本操作程序如下:读状态:输入:RS=L,RW=H,E=H输出:D0D7=状态字。读数据:输入:RS=H,RW=H,E=H输出:无。写指令:输入:RS=L,RW=L,D0D7=指令码,E=高脉冲 输出:D0D7=数据。写数据:输入:RS=H,RW=L,D0D7=数据,E=高脉冲 输出:无。(2)显示电路的实现如上面所讲,在实际的接线中,LCD1602的DB0DB7与89C51的P2口相接,RS与P3.5相接,R/W与P3.2相接,E与P3.7相接。VL与地之间接一个10K的滑动变阻器来到1602初始显示的调节。LCD1602与单机的连接如图2-9所示。图2-9

42、LCD与单片机的接口电路2.3.6 频率调节的设计对于输出信号模块的设计,这里我们选取2.1节所讲到的DDS,其具有信号输出的功能,其输出信号稳定且频率范围很宽,易于控制。在DDS的选取上,这里我们选用了美国A/D公司的AD9851芯片,相比于AD985016,AD9851可以达到的最高时钟是180MHZ,芯片内部有一个六倍频的倍频器,可以把外部时钟6倍频后送入DDS核心,因此这就可以在外部使用30MHZ时钟,避免了直接使用高频参考信号造成对外部电路的辐射干扰。该基于DDS芯片AD9851的高精度数控信号源,采用单片机控制,性价比高,易于实现。且输出波形质量高,可做到逐Hz频率调节,频率精度优

43、于0.1Hz。通过测试,最高输出频率可达70MHz左右,很有应用和推广价值17。AD9851的功能引脚如图2-10所示。(1)AD9851的主要特性如下:1) 单电源工作,工作电压在+2.7+5.25V之间;2) 工作温度范围-4585;3) 低功耗,在180MHz系统时钟下,功率为555mW。电源设置有休眠状态,在该状态下,功率为4mW;4) 接口电路简单,可用8位并行口或串行口直接输入频率、相位控制字;5) 内含6倍频参考时钟乘法器,可避免对外部高速参考时钟振荡器的需求,减小了外部频率源过高而可能产生的相位噪声。6) 频带宽,正常输出工作频率范围应为072MHz;7) 频率分辨率高,其创新

44、式高速DDS可接收32位调频字,使得它在180MH系统时钟下输出频率的精度可达0.04Hz;8) 相位可调,可接收来自单片机的5位频率控制字;9) 内置高性能的10b数模转换器。10) 内含一个高速比较器。11) 可以工作在掉电方式。12) 采用极小的28脚贴片式封装。图2-10 AD9851的功能引脚(2)AD9851的工作原理AD9851内部的控制字寄存器首先寄存来自外部的频率、相位控制字,相位累加器接受来自控制字寄存器的数据后决定最终输出信号频率和相位的范围和精度,经过内部D/A转换器后,所得到的就是最终的数字合成信号。如果相位累加器的位数为N,相位控制字的值为FN,频率控制字的位数为M

45、,频率控制字的值为FM,系统外部参考时钟频率为30MHz,6倍参考时钟倍乘使能,那么经过内部6倍参考时钟6倍乘后,可得到AD9851内部工作时钟FC为180MHz。(3)AD9851的控制方式频率/相位控制字可通过微处理器以并行方式/串行方式输入到AD9851,其中最前面的8位分别为5位相位控制字,1位用于电源休眠控制,2位用于选择工作方式,工作方式的选择要谨慎,无论是并行还是串行,最好都写成00,并行时的10、01和串行时的10、01、11都是工厂测试用的保留控制字,不慎使用可能导致难以预料的后果。剩余32位是频率控制字,用来对频率进行调制18。本系统采用串行输入模式,在实际的接线中,D1与

46、D2接一个10K的上拉电阻,D3接一个470欧姆的下拉电阻,D7接单片机的P0.0口作为串行输入,WCLK接单片机P1.4口,FQUD接单片机的P1.5口,RESET接单片机的P1.6口。AD9851与单片机连接如图2-11所示。图2-11 AD9851与单片机连接2.3.7 幅度、占空比调节的设计输出信号幅度、占空比的实现是根据DDS芯片AD9851的特性及其使用方法,通过其特殊的引脚来实现设计(下面章节会提到),又TLC5620是一个四路八位的D/A芯片,符合既能调幅又能调节占空比,且当幅度或占空比改变的情况下有能很好地把握,所以这里选用数模转换芯片TLC5620,通过这个数模转换器来实现

47、幅度、占空比的调节。(1) TLC5620的简介对TLC5620C和TLC5620I的数字控制是通过一根简单的3路串行总线实现的。该总线兼容CMOS,并易于向所有的微处理器和微控制器设备提供接口。11位的命令字包括8位数据位,2位DAC选择位和1位范围位,后者用来选择输出范围是1倍还是2倍。DAC寄存器采用双缓存,允许一整套新值被写入设备中。通过LDAC实现DAC输出值的同时更新。数字量的输入采用史密斯触发器,从而避免了高噪声。(2) 数据控制电路的设计 在实际的接线中,DATA与单片机的P1.0连接作为串行数据输入端,CLK与单片机的P1.1连接作为串行接口时钟,LOAD与单片机的P1.2连接作为串口加载控制,LDAC与单片机的P1.3连接作为数据的加载。TLC5620与单片机的连接如图2-12所示: 图2-12 TLC5620与单片机的连接(3) 幅度、占空比电路的实现在实际的连接中,DACA与AD9851的VINN

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号