DSP应用系统设计大作业解答(线性调频+你要的).doc

上传人:仙人指路1688 文档编号:4194727 上传时间:2023-04-09 格式:DOC 页数:35 大小:679KB
返回 下载 相关 举报
DSP应用系统设计大作业解答(线性调频+你要的).doc_第1页
第1页 / 共35页
DSP应用系统设计大作业解答(线性调频+你要的).doc_第2页
第2页 / 共35页
DSP应用系统设计大作业解答(线性调频+你要的).doc_第3页
第3页 / 共35页
DSP应用系统设计大作业解答(线性调频+你要的).doc_第4页
第4页 / 共35页
DSP应用系统设计大作业解答(线性调频+你要的).doc_第5页
第5页 / 共35页
点击查看更多>>
资源描述

《DSP应用系统设计大作业解答(线性调频+你要的).doc》由会员分享,可在线阅读,更多相关《DSP应用系统设计大作业解答(线性调频+你要的).doc(35页珍藏版)》请在三一办公上搜索。

1、DSP应用系统设计大作业专 业: 电子与通信工程姓 名: 赵多学 号: 1302121285题目一一、线性调频(LFM)信号为了提高雷达的作用距离和距离分辨率,实际中通常采用宽脉冲发射以提高发射的平均功率,保证足够大的作用距离;而接收时采用相应的脉冲压缩算法获得窄脉冲,以提高距离分辨率,较好的解决了雷达作用距离与距离分辨率之间的矛盾。而获得大的频带信号,采取LFM信号调制,可以将信号频域展宽,同时也充分利用了雷达发射功率,扩大作用距离,接收时采用匹配滤波器(Matched Filter)压缩脉冲。线性调频(Linear Frequency Modulation)信号是指频率随时间而线性改变(增

2、加或减少)的信号。线性调频信号s(t)可表示为: -T/2tT/2 (1.1) 式中为中心频率,为矩形信号, (1.2) K=B/T,是调频斜率,可得信号的瞬时频率为 -T/2t0时,频率递增,K0则递减。将1.1式中的信号重写为: (1.4) 式中, (1.5) 是信号s(t)的复包络,也即为零中频LFM信号。根据题目要求,可知波形数据采样频率,取脉冲宽度,则可以得知采样数据长度,调制带宽为。利用Matlab生成所需调频信号,并生.dat数据文件以便VisualDSP+软件仿真调用。信号为复数信号,复数数据实部虚部交叉存储,奇数序列为实数序列,偶数序列为虚数序列。以下Matlab程序产生式(

3、1.5)的零中频线性调频信号:clear all;T=120e-6; B=6e6; K=B/T; Fs=20e6; N=T*Fs;t=linspace(-T/2,T/2,N);St=exp(j*pi*K*t.2); subplot(221),plot(t*1e6,real(St),k);title(零中频线性调频信号的实部);xlabel(Time in us),ylabel(real(St);grid on;axis(-30 30 -1 1); subplot(222),plot(t*1e6,imag(St),k);title(零中频线性调频信号的虚部);xlabel(Time in us)

4、,ylabel(imag(St);grid on;axis(-30 30 -1 1); freq=linspace(-Fs/2,Fs/2,N);subplot(223),plot(freq*1e-6,fftshift(abs(fft(St),k);title(零中频线性调频信号的频谱);xlabel(Frequency in MHz),ylabel(S(f);grid on;axis tight; fi=K*t;subplot(224),plot(t*1e6,fi*1e-6,k);title(零中频线性调频信号的瞬时频率);xlabel(Time in us),ylabel(fi in MHZ

5、);grid on;axis tight; save input.dat -ascii Stfd=fopen(input.dat,wt);a=real(St);b=imag(St);for i=1:1:2400 fprintf(fd,%gn,a(i); fprintf(fd,%gn,b(i);endfclose(fd);如图1所示为零中频线性调频信号各曲线图。图1 零中频线性调频信号各曲线图二、脉冲压缩原理脉冲压缩技术是指雷达发射出宽脉冲信号,在接收端回波宽脉冲信号通过处理后得到窄脉冲的实现过程。脉冲压缩器的设计实际上就是匹配滤波器的设计。匹配滤波可以在时域实现,也可以在频域实现。式1.5的零

6、中频线性调频信号即为发射信号,根据匹配滤波理论,它的匹配滤波器时域脉冲响应为: (2.1) 将式(1.5)代入式(2.1) 得 (2.2) 匹配滤波h(t) S(t) S0(t)图2 零中频LFM信号的匹配滤波LFM脉冲信号经匹配滤波器后的输出S0(t)信号,当时,包络近似为辛克(sinc)函数。而此时压缩后的脉冲宽度:T0=1/B,LFM信号的压缩前脉冲宽度T和压缩后的脉冲宽度T0之比通常称为压缩比D,即 (2.3) 式(2.3)表明,压缩比也就是LFM信号的时宽频宽积。输出S0(t)的最大副瓣电平为主瓣电平的13.2dB,在多目标环境下,旁瓣会淹没附近较小的目标,从而引起目标丢失,所以通常

7、引入加权函数(窗函数)对信号进行失配处理以抑制副瓣产生的消极影响,其副作用是输出信号的包络主瓣降低、变宽,即旁瓣抑制是以信噪比损失及距离分辨力变坏作为代价的。设时域加权函数为w(t),加权函数可以选择海明窗、汉宁窗等。则加权后输出为: (2.4) 频域实现实际上就是将时域的匹配滤波的时域卷积运算转到频域来运算(快速卷积算法)。因脉冲压缩的点数比较大时,频域FFT法的处理速度要比时域实现快很多,大大减少了运算量。用频域FFT法实现脉压的具体过程如图3如下:对信号S(n)进行FFT变换得到S(K),S(K)与发射信号S(n)的FFT的复共轭S*(K)相乘,然后再对乘积作IFFT而获得时域脉压结果。

8、由于S*(K)可以预先算出存入DSP的RAM空间里,每次运算时,只需读出RAM中的数值即可进行运算。因此S*(K)可以利用Matlab直接生成。软件生成后在对脉压作加窗处理时,仅需将窗函数W(K)与S*(K)的乘积H(K)=S*(K)W(K)存入RAM中即可,不会额外增加存储量及运算量。用频域FFT法实现高速数字脉冲压缩,文中的脉冲压缩就是基于图3的原理实现的。FFTW(K)S*(K)IFFT S(n) S(K) Y(K) y(n) H(K) 图3 频域脉冲压缩原理结构图为节省运算时间,所以将频域脉压系数H(K)预先处理好。已知输入信号序列为零中频线性调频信号,根据题目要求在第二节已求出输入信

9、号序列,因此根据公式易求出匹配滤波系数序列h(n),但为保证利用FFT计算线性卷积不出现混叠失真,则循环卷积长度必须满足L=N+M-1,其中L为卷积长度,N和M分别为两卷积序列长度。根据MATLAB生成的输入数据可知N和M均为2400点,因此卷积长度,由于采用FFT进行计算,因此L必须为2的整数次幂,取最小值为8192。因此此设计中所有FFT和IFFT运算点数均为8192点。通过改变窗函数w(t),可以得到三组H(k)序列文件,分别为矩形窗、汉宁窗和汉明窗,对应于文件real.dat/imag.dat, real1.dat/imag1.dat, real2.dat/imag2.dat三组文件,

10、以便VISUALDSP+软件仿真时进行导入。Matlab生成H(K)序列源代码如下:clear all;T=120e-6; B=6e6; K=B/T; Fs=20e6; N=T*Fs;t=linspace(-T/2,T/2,N);St=exp(j*pi*K*t.2);N_fft=4096; %因采样数据长度N为2400则脉压fft点数4096ht=conj(fliplr(St); %匹配滤波h(t)wt0=boxcar(N);wt1=triang(N);wt2=hanning(N);wt3=hamming(N);wt4=blackman(N);beta=7.865;wt5=kaiser(N,b

11、eta);ht0=ht.*wt3; %这里默认加汉明窗函数Ht=fft(ht0,N_fft); a=real(Ht);b=imag(Ht);save real.dat -ascii afd=fopen(real.dat,wt);for k=1:1:N_fft fprintf(fd, %gn, a(k); end; save imag.dat -ascii bfd=fopen(imag.dat,wt);for k=1:1:N_fft fprintf(fd, %gn, b(k); %文本文件实际点数N_fft*2end; fclose(fd);三、VISUALDSP+脉压仿真3.1线性调频信号序列

12、输入由MATLAB软件生成LFM信号序列,文件为input.dat。文件中共2400个复数序列,其中偶数列为实数序列,奇数列为虚数序列,因此共4800个数据。下图为在VISUALDSP+软件中导入input.dat文件并利用其画图功能画其波形:图3 零中频信号 上半部为实部波形,下半部为虚部波形3.2匹配滤波系数频域序列输入由第二节计算可知,匹配系数H(K)序列为h(n)进行4096点FFT变换得到,因此H(K)共有4096个复数序列,并且将实数序列与虚数序列分别存储成real.dat和imag.dat两个文件。在第二节中利用MATLAB软件生成H(k)序列已详解。根据矩形窗、汉宁窗、汉明窗生

13、成三组序列文件分别real.dat/imag.dat,real1.dat/imag1.dat和real2.dat/imag2.dat。利用VISUALDSP+软件进行导入并画出波形图如下:图4 矩形窗H(k)序列 上半部为实部波形,下半部为虚部波形图5 汉宁窗H(k)序列 上半部为实部波形,下半部为虚部波形图6 汉明窗H(k)序列 上半部为实部波形,下半部为虚部波形根据原理结构图可知,脉冲压缩共分为FFT、复数乘法、IFFT和模值衰减变换四个模块。其中FFT模块采用VISUALDSP+软件中自带模块。而IFFT可采用如下方法求解,因 (3.1) 由式(3.1)可得,先将X(K)取复共轭,然后直

14、接调用FFT子程序进行FFT运算,最后再取复共轭并乘以1/N得到序列x(n)。这种方法虽然用了两次取共轭运算,但可以与FFT共用一子程序,提高了子程序利用率。四、结果分析4.1输出结果利用VISUALDSP+软件设置断点功能画出各部分输出波形,波形图分别如下:图7 零中频线性调频信号8192点FFT波形图8 矩形窗加权系数脉冲压缩压缩后波形 图9 矩形窗系数脉冲压缩后局部放大波形 图10 矩形窗脉冲压缩后衰减波形图11 汉宁窗压缩后波形图12 汉宁窗压缩后波形局部放大 图13 汉宁窗脉压后衰减波形 图14 汉明窗脉压后时域波形 图15 汉明窗脉压后局部放大波形图16 汉明窗脉压后衰减波形4.2

15、加窗对脉压性能的影响线性调频信号匹配滤波器输出端的脉冲,是经过压缩后的窄脉冲,输出波形具有辛克函数的性质,除了主瓣外还有时间轴上延伸的一串副瓣。靠近主瓣的第一副瓣最大,其值较主瓣峰值只得13.46dB,第二副瓣在降低4dB,以后依次下降。副瓣零点间的间隔为1/B。匹配滤波器输出的旁瓣太高是脉冲压缩雷达的缺点,实际使用中采用加窗的准匹配滤波器来改善副瓣的性能。实际应用中的匹配滤波器需要加窗,以实现旁瓣的抑制,但窗函数点数N固定时,也会导致主瓣的展宽,旁瓣的抑制和主瓣的展宽二者彼此矛盾,需要以实际需要折衷考虑,即在副瓣输出达到要求的情况下,应使主瓣的展宽及强度变化值最小。以下分析不同的窗函数对脉压

16、结果(主瓣宽度和幅度增益)的影响。首先给出六种窗函数自身的性能:表1 六种窗函数的主要参数窗函数类型主瓣宽度/rad旁瓣电平/dB过度带带宽/rad阻带最小衰减/dB矩形窗 -1321三角窗-2525汉宁窗-3144汉明窗-4153布莱克曼窗-5774凯塞窗-5780根据时宽带宽积可以的到脉冲压缩比为40。原脉压前脉冲宽度为20us,对于20MHz的采样频率,对应采样点400,那么脉压后主瓣宽度采样点数为2*(400/40)=20点(主瓣宽度等于2倍的零点带宽)。下面考虑加窗对脉压结果增益(以主瓣最大增益为准)的影响和脉压后脉冲宽度(第一零点带宽为准)的影响。表2 加载六种窗函数后DSP脉压对

17、脉冲宽度、增益的影响窗函数类型脉冲主瓣宽度(采样点数)脉冲增益(主瓣最大增益)第一旁瓣增益(第一旁瓣最大增益)矩形窗2040083.5三角窗44200.510.1汉宁窗4020055.4汉明窗38216.53.1布莱克曼窗581650.89凯塞窗54175.70.85从表2可以得到结论:脉压可以提升脉冲的增益,幅度增益与原宽脉冲时宽有关。加窗可以有效地抑制副瓣,但是要以主瓣展宽和增益下降为代价。相对而言,一般情况下,选择汉明窗可以获得较好带宽和增益折衷。线性调频信号经过压缩处理接收后的信号幅度峰值是原来发射信号幅度峰值的(D为脉压比)倍,即输出脉冲峰值功率比输入脉冲峰值功率增大了D倍。在要求发

18、射机输出功率一定的情况下,接收机输出的目标回波信号经过匹配滤波压缩处理,具有窄的脉冲宽度和更高的峰值功率,前者提高距离分辨率而后者符合探测距离远的战术要求,这充分体现了脉压体制独特的优越性。从反侦查的角度来说,脉压雷达比普通雷达具有更强的生存能力。由于线性调频信号的幅度和信噪比更小,有侦查方程可知,同等灵敏度的侦察机其侦查距离为原来的,所以在雷达应用领域,脉压雷达具有功率优势,应用前景十分广阔。五、附录部分主程序代码如下:/* Includes */ #include #include #include #include #include FFTDef.h/* Externs */extern

19、 fft32( float (*), float (*), float (*), float (*), int, int ); extern init();#pragma align 4section (data1ab) float output2*N; /FFT函数输出变量 float realN; /变换中实部序列N=4096 float imagN; /变换中虚部序列 float max; /脉压后 float input4800= #includeLFM/input.dat;/输入信号文件其中偶序列为实部 float input12*N; /变换信号数据缓冲 float real_co

20、ffN= #includeLFM/real.dat ; /滤波器4096点fft实部real/real1/real2分别为矩形窗、汉宁窗、汉明窗滤 波系数/ float imag_coffN= #includeLFM/imag.dat ;/滤波器4096点fft虚部imag/imag1/imag2分别为矩形窗、汉宁窗、汉明窗滤波系数/#pragma align 4section (data2ab)float ping_pong_buffer12*N;#pragma align 4section (data3ab)float ping_pong_buffer22*N;volatile int i

21、,tmp_i0,tmp_i1; /用于计数(程序各段计时)/*mainvoid main( void )int i; #ifdef initialization_errorprintf(ERROR: This FFT function works only in the following conditions:n);printf( -N must be a power of 2n);#ifdef _ADSPTS201_printf( -for real inputs, 64=N=32768n);printf( -for complex inputs, 32=N=16384n);#elsepr

22、intf( -for real inputs, 64=N=8192n);printf( -for complex inputs, 32=N=4096n);#endifprintf(Please change the settings in the file FFTDef.hn);#else/*in the case of TS201, at the beginning of the program thecache must be enabled. The procedure is contained in cache_enable macro that uses the refresh ra

23、te as input parameter -if CCLK=500MHz, refresh_rate=750-if CCLK=400MHz, refresh_rate=600-if CCLK=300MHz, refresh_rate=-if CCLK=250MHz, refresh_rate=375*/#ifdef _ADSPTS201_asm(#include );asm(#include );asm(cache_enable(750););asm(#include );asm(#include );asm(preload_cache;);#endiftmp_i0 = _builtin_s

24、ysreg_read( _CCNT0 ); / read initial cycle for(i=0;i4800;i+)input1i=inputi; /信号传输至input1变换缓冲区/ /FFT运算/fft32(&(input1), &(ping_pong_buffer1), &(ping_pong_buffer2), &(output),N, COMPLEX); /8192点FFT变换for(i=0;iN;i+)reali=output2*i; /线性调频信号FFT变换后实部imagi=output2*i+1; /线性调频信号FFT变换后虚部/复数乘法运算/for(i=0;iN;i+)i

25、nput12*i=reali*real_coffi-imagi*imag_coffi;input12*i+1=-reali*imag_coffi-real_coffi*imagi; /取共轭/ /IFFT运算/ fft32(&(input1), &(ping_pong_buffer1), &(ping_pong_buffer2), &(output),N, COMPLEX); /8192点FFT变换for(i=0;iN;i+)reali=output2*i/N; /imagi=-output2*i+1/N; /取共轭 /*到此完成了脉冲压缩,也就是完成了匹配滤波*/窄脉冲幅度/for(i=0;

26、iN;i+)reali=sqrt(reali*reali+imagi*imagi); /求复数模max=real0;for(i=0;imax)max=reali; for(i=0;i2*N;i+) /衰减变换reali=reali/max; reali=20*log10(reali); tmp_i1 = _builtin_sysreg_read( _CCNT0 ); / printf(cycle count = %d, tmp_i1 - tmp_i0); / print the cycle count #endif题目三:基于ADSP-TS101的雷达信号处理机实现 一、绪论1.1 背景经过几

27、十年的发展,今天的雷达提供的有关目标的信息已经远远超出了它最初的定义,不论在地面、舰船、飞机、导弹、太空都活跃着雷达的身影,雷达的原理已经在几兆赫兹到紫外线的频率范围内得到了应用,雷达的性能和用途也发生了巨大的变化,雷达的发明使人类在军事、通讯、航空、航体、跟踪测量等领域的技术发生了本质的重大飞跃。雷达信号处理是雷达系统的一个极其重要的组成部分,用于雷达在复杂背景(包括杂波和干扰)下对目标的检测和目标信息的提取,现代雷达技术的发展越来越倚重于信号处理。雷达信号处理不仅大大的提高了在复杂背景下对目标的检测能力,而且也提供了更加丰富的信息,如对目标进行成像及目标识别等。因此,雷达信号处理是当前雷达

28、技术研究中最活跃、发展最为迅速的部分之一。近几十年,雷达信号处理的研究取得了长足的进步和发展,五十年代实现了抑制杂波的时域滤波以及对复杂信号的匹配滤波,六十年代实现了对有源干扰的空域滤波,并且提出了对变化环境的自适应滤波。六十是年代以来随着数字技术的实际应用和不断发展,使得雷达整体性能大幅度提高。进入到八十年代之后,微处理技术,特别是数字信号处理器的发展,是雷达信号处理完成了从模拟到数字的转化,除了极大的提高了雷达信号处理机的性能之外,还大大提高了整个处理机的系统可靠性,减小了体积和功耗,使得其应用范围变得日益广泛。不同的信号处理领域对数据处理的速度要求不尽相同。在一般情况下,通信和语音信号处

29、理中需要每秒几千万次到几亿次运算,在视频信号和图像处理中,需要每秒几亿次到几十亿次运算,而在雷达和声纳信号处理中,常需要每秒几十亿到几百亿次运算。此外,通信、语音、图像处理中信号动态范围有限,一般用定点运算就可以满足工作要求,而雷达和声纳信号处理需要较大的数据动态范围和数据精度,按定点处理可能发生溢出,往往必需用浮点运算完成,同时,雷达信号处理不同于其他类型的信号处理,不仅运算量大,数据吞吐量也很大,这样对处理单元的输入输出速度和处理机互联网络的通信能力都有很高的要求。因此,雷达信号处理采用高速度,高精度,大动态范围,高数据吞吐量的处理系统势在必行。正是由于雷达信号处理的这些要求,使得在进行系

30、统设计前必须进行DSP选择。DSP分为专用DSP和通用DSP两种,前者的运算是用硬件直接实现的,速度快,但是灵活性差,而且几乎都是定点的,精度和动态范围有限,通用DSP的运算和处理是基于软件实现的,兼容性好,可根据实际需要选择采用定点或浮点运算,具有较强的扩展能力,而且在速度方面也已超过某些专用DSP。1.2 概述本文研究的目的是实现基于通用DSPTSl01的雷达信号处理机。该信号处理机主要由脉冲压缩(PC),动目标检测(MTD),自动角测量,恒虚警处理(CFAR)等几个模块组成。二、系统硬件设计2.1 处理器简介 AD公司的ADSPTS101STigerSHARC DSP(简称TS101)是

31、一款高性能的数字信号处理器,是SHARC DSP的下一代产品。与SHARC DSP相比,TigerSHARC在速度、内部存储器容量、处理器的体系结构,以及处理器提供的外部资源方面都做了改进,更加适用于构成各种不同的并行多处理器系统。概括的讲,TS101具有如下主要特点:(1)核时钟频率。TS101有两种型号,一种内部核时钟频率可以达到300MHz,即指令周期3.3ns。另一种内部核时钟频率可达250MHz,即指令周期4ns。(2)片内两个计算块X和Y。每个运算块有一个64bitALU,一个乘法器,一个64bit移位器和一个由32个寄存器构成的寄存器组,可以执行定点和浮点的算术逻辑等通用运算。而

32、SHARC DSP只有一个计算块,相比之下TS101具有更强的运算能力。(3)三条内部地址/数据总线。每条总线都连接到三个内部存储器块中的一个。三条总线都是128bit宽,可以在任何一个周期使用任意一条总线传送多达四条指令或四个对其的数据。这样TS101内核可以在任何一个周期并行访问三个存储块,一个取指令,两个访问数据。(4)有两个整数算术运算逻辑单元J-IALU和K-IALU。每个IALU都包含一个寄存器堆和8个专用的循环缓冲寄存器。两个IALU能提供更强大的地址产生能力和通用的整数操作。(5)有6M位的大容量片内SRAM。分为三个2M位的存储块M0、M1和M2,每一个存储块都能够单独存储程

33、序、数据或同时存储程序和数据。(6)DMA控制器。其拥有14个DMA通道,提供了在处理器核不干预条件下的零开销数据传送。(7)SDRAM控制器。SDRAM的地址、数据引脚可以与TigerSHARC的直接相连。另外,TigerSHARC提供专用的寻址空间来支持SDRAM。(8)4个链路口。其支持点对点的高贷款数据传送,通过单个链路口可以以250Mbytes/s的速率进行数据传输。四个链路口为处理器与处理器之间的通讯提供了一个良好的途径,总吞吐量多达每秒1Gbytes。(9)多处理器特性。当单个DSP芯片组成的系统不能满足处理要求时,TS101通过外部口或者链路口与其它TS101相连构成多处理器系

34、统。TS101的外部总线支持多达8个DSP外加Host处理器的并行总线连接。在构成多处理器系统时,处理器之间无论是采用共享总线方式,还是采用链路口相连方式,都不需要任何外加控制,实现无缝连接,在并行总线上可以以800Mbytes/s的速率进行数据传输。2.2 雷达信号处理机系统构成雷达信号处理系统由中频信号相干检波模块、脉冲压缩模块、相参积累、参数检测(包含速度、距离、方位角等参数的MTD)和恒虚警处理及大部分组成。中频信号相干检波模块主要工作为:对AD变换后送来的25M中频信号进行相干检波,使数字回波信号变成分离为I、Q两路的零中频信号,然后对其进行抽样处理,最后把抽样后的数据打包成32bi

35、t送往脉冲压缩模块。其中低16bit为I路信号,高16位为Q路信号。其系统原理如图1所示:目标距离速度航向俯仰角检测相参积累恒虚警处理和路脉冲压缩模块中频信号相干检波模块A/D和路中频 差路脉冲压缩模块A/D差路中频 图1 雷达信号处理机系统原理如图1所示,中频信号相干检波模块将和差两路信号分别送入和路差路脉冲压缩模块,两路脉冲压缩各自独立运行,把脉压结果送入相参积累,经过相参积累后系统的信噪比有所提高,利于检测模块对距离、速度、方位角等参数的检测,当完成这些工作后。结合检测后的数据与初始判定门限进行恒虚警处理。该雷达信号处理机一共用三片TSl01完成相干检波后信号处理,其硬件结构如图2所示。

36、图2给出了主要的芯片以及各芯片之间的信号连接关系。其中,TSl01一A和TSl01一B分别完成和路和差路数据的脉冲压缩,TSl01-C完成相参积累、MTD检测、角度测量、CFAR处理等工作。TSl01一A和TSl01一C采用EPROM引导方式,TSl01-3采用链路口引导方式。在对引导芯片EPROM-A作配置时,除了在EPROM-A中装载和路脉压片(A)所需的程序及相关参数以外,还要将差路脉压片(B)所需的程序及相关参数一并装载。当TSl01一A经EPROMA成功引导后,它不仅从EPROM中获得了自身正常运行所需的程序和相关参数,而且也获得了差路(B)所需的相关程序信息;通过硬件连接的链路口1

37、(A)和链路口0(B),TSl01-B经链路口引导,从TSl01一A中加载自身程序运行所需的相关信息。从图中可以看出,脉冲压缩和检测片之间采用链路口传输数据信息,其中和路脉冲压缩后的数据利用TSl01一A和TSl01一C各自的链路口0传输,差路脉冲压缩后的数据利用TSl01-B和TSIOI-C各自的链路口l传输。这样的设计主要考虑到TSl01-C在作数据检测时需要频繁的和外部SDRAM交换信息,利用TSl01的链路口一方面充分利用了器件本身的硬件资源,另一方面也避开了多个设备同时请求总线时造成的总线冲突,提高了系统的运行速度。图2 雷达信号处理机硬件结构简图不论和路还是差路脉冲压缩,除了引导方

38、式不同以外,其工作原理与实际工作流程是完全一致的,因此,TSl01一A和TSl01一B有部分管脚接收同样的控制信息,如图所示:差转控制提示当前差路信号接收的是航向差还是俯仰差信号;状态标志01共同标志当前脉冲重复周期、脉宽是处于何种状态:同步脉冲中断到来时,提示前端开始往TSl01输送数据(经过相干检波后的数据),TSl01根据此中断信号决定何时装载DMA;前端每传输一个数据,发送一次DMA请求,DMA传输根据请求相应的从外部总线传输一个数据到内部存储器。TSl01一C的状态改变输出则是由检测后的结果决定。三、系统软件设计3.1 脉冲压缩的实现随着飞行技术的飞速发展,对雷达的作用距离、分辨能力

39、、测量精度和单值性等性能指标提出了越来越高的要求。测距精度和距离分辨力对信号形式的要求是一致的,主要取决于信号的频率结构,为了提高测距精度和距离分辨力,要求信号具有大的带宽,而测速精度和速度分辨力则取决于信号的时域结构,为了提高测速精度和速度分辨力,要求信号具有大的时宽。除此之外,为了提高雷达系统的发现能力,要求雷达信号具有大的能量。由此可见,为了提高雷达系统的发现能力、测量精度和分辨力要求雷达信号具有大的时宽、带宽能量乘积。但是在系统的发射和馈电设备峰值功率受限的情况下,大的信号能量只能靠加大信号的时宽来得到。测距精度和距离分辨力同测速精度和速度分辨力以及作用距离之间存在着不可调和的矛盾。为

40、了解决这个矛盾,人们提出了脉冲压缩理论,脉冲压缩技术的实现是指雷达通过发射机发射款脉冲信号而接收信号经过压缩处理后获得窄脉冲的过程,脉冲压缩可以使雷达在峰值发射功率大大降低的情况下同时获得长脉冲的高能量和短脉冲的分辨率两方面的优点,它较好的解决了雷达脉冲峰值功率受限和距离分辨率之间的矛盾。同时,宽脉冲的使用可以使多普勒系统的分辨率提高,相应提高速度分辨率。由于脉冲压缩技术是对回波信号做相关处理,故系统还具有较高的抗干扰性。本系统脉冲压缩分为和差两路,两路脉压分别对前端的和路回波和差路回波进行脉冲压缩处理。和路脉冲压缩的结果用于目标距离、速度的检测以及在测角时作为基准信号;差路脉压信号用于航向俯仰误差角测量。和路脉冲压缩片在系统启动时,采用EPROM引导方式,从EPROM中载入程序及相关参数(包含差路脉压所需的程序和参数),在对自身(DSP)做初始化的同时,通

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号